Circuiti integrati. Circuiti integrati

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Circuiti integrati. Circuiti integrati"

Transcript

1 Circuiti integrati Circuiti integrati Le porte logiche non vengono prodotte isolatamente, ma sono realizzate su circuiti integrati Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati transistor e dunque porte logiche, che complessivamente realizzano uno o più circuiti digitali La piastrina di silicio di un circuito integrato ha solitamente dimensioni comprese tra: 5 5 mm e cm (di rado superiore) La piastrina di silicio integra i transistor, i collegamenti tra i transistor e i collegamenti con i morsetti di ingresso/uscita del chip 2

2 Famiglie di circuiti integrati I circuiti integrati sono classificati in base alle loro dimensioni, cioè al numero di porte logiche contenute: SSI (Small Scale Integrated): IC a scala di integrazione piccola, da a porte MSI (Medium Scale Integrated): IC a scala di integrazione media, da a porte LSI (Large Scale Integrated): IC a scala di integrazione grande, da a. porte VLSI (Very Large Scale Integrated): IC a scala di integrazione molto grande, >. porte Ogni famiglia ha degli usi caratteristici nei calcolatori e in generale nei dispositivi elettronici, che dipendono dalle sue dimensioni, ovvero dalla quantità di porte presenti sul circuito integrato stesso 3 Metodi di progetto logico Nessuno progetta un circuito integrato contenente milioni di transistor, equivalenti a circa 2 milioni di porte logiche ND a 2 ingressi, trattandolo come un unica rete combinatoria (o sequenziale) di dimensioni enormi! Per progettare circuiti digitali di tali dimensioni, si usano tecniche modulari, per scomporre il problema 4 2

3 Matrici logiche programmabili Esistono circuiti integrati programmabili, chiamati PL (Programmable Logic rray, matrici logiche programmabili), che permettono di realizzare qualsiasi rete combinatoria Il solo limite della PL è dato da: Il numero di piedini (che è fisso) Il numero massimo di porte logiche disponibili sulla PL Il produttore produce e commercializza PL vergini : esse non hanno a bordo alcun circuito digitale definito Spetta al compratore programmare la PL vergine, installandole a bordo una o più reti combinatorie (o anche sequenziali), secondo le esigenze Per programmare la PL occorre un apposito apparato programmatore 5 Come funziona un PL La PL vergine contiene già un numero fissato di porte logiche ND, OR e NOT (o anche NND, ecc) Nella PL vergine i collegamenti tra queste porte logiche sono però indefiniti La PL vergine contiene delle matrici di microinterruttori a transistor: bruciandoli o lasciandoli intatti si realizzano collegamenti tra le porte L apparato programmatore di PL è in grado di bruciare selettivamente i microinterruttori presenti sulla PL Per farlo, esso applica ad alcuni piedini della PL (piedini di programmazione), speciali valori di tensione elettrica, che agiscono sui microinterruttori L operazione è del tutto automatica e relativamente veloce, e irreversibile 6 3

4 4 7 C D M I C R O I N T E R R U T T O R I M I C R O I N T E R R U T T O R I C F porta non usata porta non usata D ingresso non usato Funzione maggioranza a tre ingressi Circuiti combinatori elementari

5 Circuiti combinatori elementari Esiste una ben nota e ormai stabilizzata libreria di blocchi funzionali predefiniti di tipo combinatorio Essa contiene blocchi funzionali per tutte le funzioni combinatorie di base Questi blocchi appartengono alle famiglie MSI e (alcuni di essi) LSI La libreria contiene anche blocchi funzionali di tipo sequenziale 9 Multiplexer Il blocco funzionale multiplexer ha: n ingressi di selezione 2 n 2 ingressi dati un uscita Gli ingressi dati sono numerati a partire da : k =,, 2,, 2 n Se sugli ingressi di selezione è presente il numero binario k, il kesimo ingresso dati viene inviato in uscita 5

6 Un solo ingresso di controllo Ctrl OUT OUT =!!Ctrl +!Ctrl +!Ctrl + Ctrl Ctrl Possibilità di ingressi di più bit OUT OUT = Ctrl +!Ctrl Multiplexer a 2 ingressi di controllo S S Tabella delle verità # riga S S I I2 I3 I4 U X X X I X X X I 2 U 2 X X X 3 X X X I 3 4 X X X I 4 MUX 5 X X X 6 X X X 7 X X X 2 6

7 Demultiplexer Circuito logico che effettua l operazione inversa rispetto al MUX (multiplexer) Il blocco funzionale demultiplexer (DEMUX) ha: n ingressi di selezione un ingresso dati 2n 2 uscite I D D Selezione S S 2 O D Uscite O 2 O 3 D O 4 O =!S!S 2 I O2 = S!S 2 I O3 =!S S 2 I O4 = S S 2 I D D D D 3 Demultiplexer O =!S!S 2 I O2 = S!S 2 I O3 =!S S 2 I O4 = S S 2 I S S 2 S S 2 O O () I O 2 I O 2 () O 3 O 3 () O 4 DMUX O 4 () 4 7

8 Decoder Il blocco funzionale decoder ha: n ingressi 2 n 2 uscite Le uscite sono numerate a partire da : k =,, 2,, 2 n Se sugli ingressi è presente il numero binario k, la kesima uscita assume il valore e le restanti uscite assumono il valore 5 Decoder F F2 F3 F4 F =!! F2 =! F3 =! F4 = F F F 2 F 2 F 3 F 4 DECODER F 3 F 4 6 8

9 Codificatore (encoder) È il blocco funzionale inverso del decodificatore. Ha: 2n 2 ingressi n uscite Se l ingresso kesimo vale e i rimanenti ingressi valgono, sulle uscite è presente il numero binario k Se due o più ingressi valgono, il funzionamento del blocco non è definito Uso caratteristico: rilevare la posizione di un segnale attivo in un gruppo di segnali 7 Codificatore ENC a 4 ingressi Se due o più ingressi valgono, il comportamento non è definito ingressi I I I2 I3 ENC U U Codificatore a 4 ingressi uscite Tabella delle verità # riga I I I2 I3 U U

10 Confrontatore (comparator) Il blocco funzionale confrontatore ha: due gruppi e di ingressi da n bit ciascuno tre uscite: minoranza <, uguaglianza = e maggioranza > Il blocco confronta i due numeri binari e da n bit presenti sui due gruppi di ingressi, e attiva (a ) l uscita corrispondente all esito del confronto 9 Confrontatore COMP a 2 bit ingressi < = > COMP Confrontatore di numeri a 2 bit uscite Tabella delle verità # riga < = >

11 Controllore di parità (parity checker) Il blocco funzionale controllore di parità ha: n ingressi un uscita Se un numero pari di ingressi vale (cioè, 2, 4, ingressi), l uscita vale Se un numero dispari di ingressi vale (cioè, 3, 5, ingressi), l uscita vale 2 Controllore di parità Tabella delle verità PR a 4 bit ingressi D D D2 P D3 PR uscita Controllore di parità a 4 ingressi # riga D3 D2 D2 D P

12 Usi caratteristici Confrontatore: confronti tra numeri interi (naturali o anche relativi) Controllore di parità: si usa per tecniche di rilevamento (e anche di correzione) degli errori di trasmissione e di memorizzazione: codice di parità per la segnalazione di errori 23 Shifter Effettua lo scorrimento verso sinistra o destra del valore presente agli ingressi Esempio: Sinistra: Destra: S/D OUTn OUTi OUT sinistra INn- INi- Nuovo bit destra Nuovo bit INi+ IN 24 2

13 Shifter S/D nuovo nuovo I bit 4 I 3 I 2 I I bit MUX MUX MUX MUX MUX O 4 O 3 O 2 O O 25 Sommatore È la generalizzazione del sommatore completo: addizione di numeri interi binari naturali (positivi) a n bit Ha in ingresso due numeri interi binari naturali e da n bit ciascuno In uscita presenta la somma a n bit dei due numeri interi e Può avere un riporto in ingresso e un riporto in uscita, non sempre usati 26 3

14 Half-adder Somma Carry Carry Somma =! +! = ± Carry = HLF-DDER Somma 27 Full-hadder Carry in Somma Carry out Carry in Somma = ± ± CarryIn CarryOut = + CarryIn + CarryIn + CarryIn( + ) Carry out Somma FULL-DDER 28 4

15 Sommatore per dati a 3 bit 2 2 F F H R2 R R 2 2 F F F R2 R R 29 Sottrattore intero binario naturale a n bit (n-bit subtractor) È il blocco funzionale inverso del sommatore intero a n bit Ha in ingresso due numeri interi binari naturali e da n bit ciascuno In uscita presenta la differenza a n bit dei due numeri interi e Può avere un prestito in ingresso e un prestito in uscita, non sempre usati 3 5

16 Sottrattore intero a n bit Sottrattore intero binario naturale a 3 bit minuendo sottraendo sottrattore a 3 bit! 8 prestito in uscita M2 M M S2 S S Pusc D2 D D 7 M = M = = 2, S = = 3, P in = D = M! S! = 3, S = P in = 2! = 2, P =! in = D = M! S! P in = 3! 2! = e = P usc = Pin prestito in ingresso differenza 3 Esempio di progetto in stile funzionale Si chiede di progettare un circuito digitale combinatorio, che abbia: in ingresso due numeri interi binari naturali (positivi) e da n bit ciascuno in ingresso un segnale di comando C in uscita un numero intero binario naturale Z da n bit Su Z deve uscire la somma + se C =, la differenza se C = 32 6

17 Schema logico della soluzione n X + Y X C + S n Y n I S n X - Y X n MUX U I n Z - D n Y (si usa un multiplatore a 2 gruppi di ingressi dati; ciascun gruppo è da n bit) 33 Unità ritmetico-logica comandi dd Sub R in, P in LU n C LU U n operandi n E risultato esiti = < = > R usc P usc 34 7

18 Unità ritmetico-logica # riga Comando Operazione R Esito dd somma e + + R in riporto in uscita R usc Sub sottrae da!! P in prestito in uscita P usc 2 3 Pass Pass passa in uscita passa in uscita 4 Zero annulla uscita - 5 Shift Left scorre a SX 2 bit più significativo di 6 Shift Right scorre a DX / 2 bit meno significativo di 7 8 Null Compare Confronta con Confronta con - = - <, =, > 9 Multiply prodotto di e " riporto in uscita Divide divisione / / divisione per? Esempio di calcolo comandi Compare Pass Zero dd nd Sub Null Or Rin, Pin XXX = X n C LU operandi 23 5 XXX = n LU E U n XXX = risultato esiti = < = > Rusc Pusc X X X X X X 36 8

19 9 37 Schema logico di una LU da bit 38 Esercizio LU che effettua ND, OR, NOT e somma algebrica +! or and Operazione F F CarryOut Output F F

20 39 4 2

21 4 42 2

22

23 Logica istabili e locchi sequenziali 23

24

25 49 25

26

27

28

29 Progettazione funzionale Contatori Modulo Contatori binari che consentono di contare i colpi di clock Utilizzando n bistabili consentono di contare da a 2^n- d ogni colpo di clock il valore del contatore viene incrementato di una unità Modulo significa che si resettano al raggiungimento del valore massimo

30 3 59 Contatore Modulo 8 Quanti bistabili servono per realizzarlo? 3 6 Nc Nb Na C Tabella della verità di un contatore modulo 8 Non viene considerato il segnale di clock che serve solo per attivare la transizione, ma non ha effetto sull OUTPUT

31 3 6 Nc Nb Na C Usando una tecnica appropriata scrivere la sintesi in I forma normale (SoP) delle 3 uscite 62 Na C \C Na=!C+!+!C Na=(!C+!)+!C

32 32 63 Nb C \C Nb=!C+!C Nb= C 64 Nc C Nc=!C

33 Flip-Flop tipo D 66 33

34 Dalla rete combinatoria al contatore La rete combinatoria implementa la logica che consente di realizzare l incremento isogna aggiungere i bistabili ed il segnale di clock che attivi la transizione

35 Progettazione circuito sequenziale Implementare un circuito che memorizza due bit specificati sugli ingressi solo se: Solo uno di essi differisce dai bit memorizzati precedentemente

36 Progettare in s tile funzionale, cioè combinando dei blocchi logici combinatori e sequenziali predefiniti, un circuito sequenziale che calcola la funzione F(,) che vale - / con! e vale con = dove e sono due numeri binari positivi di 4 bit, dotato dell'interfaccia seguente: LOD RESET CK 4 U3U2UU Il circuito riceve i due dati e nel primo ciclo di clock, il caricamento avviene quando il segnale LOD è attivo. Nel ciclo successivo il circuito calcola la funzione F(,) e ne memorizza il risultato nel registro d uscita. Il circuito riceve in ingresso i seguenti segnali: Il segnale di clock, CK. Un segnale di caricamento, LOD. D u e gruppi di ingressi di 4 bit, (3, 2,, ) e (3, 2,, ) che accettano valori binari di 4 bit (3 e 3 sono i bit più significativi, e quelli meno significativi). Il circuito emette in uscita 4 segnali, U3, U2, U e U, su cui viene codificato un numero binario di 4 bit; (U3 è il bit più significativo, U quello meno significativo). Completare l unità di elaborazione che permette di ottenere questo comportamento, assumendo di avere a disposizione, oltre ai componenti di base, anche un sottrattore ed un divisore di numeri positivi. 7 36

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati Il Livello LogicoDigitale i Blocchi funzionali combinatori Circuiti integrati Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati

Dettagli

Logica combinatoria. La logica digitale

Logica combinatoria. La logica digitale Logica combinatoria La logica digitale La macchina è formata da porte logiche Ogni porta riceve in ingresso dei segnali binari (cioè segnali che possono essere 0 o 1) e calcola una semplice funzione (ND,

Dettagli

Il Livello Logico-Digitale. Blocchi funzionali combinatori

Il Livello Logico-Digitale. Blocchi funzionali combinatori Il Livello Logico-Digitale Blocchi funzionali combinatori 21-10-2015 Blocchi funzionali combinatori Esiste una ben nota e ormai stabilizzata libreria di blocchi funzionali predefiniti di tipo combinatorio

Dettagli

Linguaggio del calcolatore. Algebra di Boole AND, OR, NOT. Notazione. And e or. Circuiti e reti combinatorie. Appendice A + dispense

Linguaggio del calcolatore. Algebra di Boole AND, OR, NOT. Notazione. And e or. Circuiti e reti combinatorie. Appendice A + dispense Linguaggio del calcolatore Circuiti e reti combinatorie ppendice + dispense Solo assenza o presenza di tensione: o Tante componenti interconnesse che si basano su e nche per esprimere concetti complessi

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Circuiti combinatori slide a cura di Salvatore Orlando, Andrea Torsello, Marta Simeoni 1 Circuiti integrati I circuiti logici sono realizzati come IC (circuiti integrati)! realizzati su chip di silicio

Dettagli

Calcolatori: Algebra Booleana e Reti Logiche

Calcolatori: Algebra Booleana e Reti Logiche Calcolatori: Algebra Booleana e Reti Logiche 1 Algebra Booleana e Variabili Logiche I fondamenti dell Algebra Booleana (o Algebra di Boole) furono delineati dal matematico George Boole, in un lavoro pubblicato

Dettagli

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU Lezione n.7 Il moltiplicatore binario e il ciclo di base di una CPU 1 SOMMARIO Architettura del moltiplicatore Architettura di base di una CPU Ciclo principale di base di una CPU Riprendiamo l analisi

Dettagli

Lezione 7 Sommatori e Moltiplicatori

Lezione 7 Sommatori e Moltiplicatori Architettura degli Elaboratori e delle Reti Lezione 7 Sommatori e Moltiplicatori Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 1/36 Sommario!

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I)

CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Codifica delle Informazioni T insieme delle informazioni da rappresentare E insieme

Dettagli

SISTEMI DI NUMERAZIONE E CODICI

SISTEMI DI NUMERAZIONE E CODICI SISTEMI DI NUMERAZIONE E CODICI Il Sistema di Numerazione Decimale Il sistema decimale o sistema di numerazione a base dieci usa dieci cifre, dette cifre decimali, da O a 9. Il sistema decimale è un sistema

Dettagli

Struttura del calcolatore

Struttura del calcolatore Struttura del calcolatore Proprietà: Flessibilità: la stessa macchina può essere utilizzata per compiti differenti, nessuno dei quali è predefinito al momento della costruzione Velocità di elaborazione

Dettagli

Laboratorio di Architettura degli Elaboratori - A.A. 2012/13

Laboratorio di Architettura degli Elaboratori - A.A. 2012/13 Università di Udine - Facoltà di Scienze Matematiche, Fisiche e Naturali Corso di Laurea in Informatica Laboratorio di Architettura degli Elaboratori - A.A. 2012/13 Circuiti logici, lezione 1 Sintetizzare

Dettagli

Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione

Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione Fondamenti di Informatica Michele Ceccarelli Università del Sannio ceccarelli@unisannio.it Angelo Ciaramella DMI-Università degli

Dettagli

Testi di Esercizi e Quesiti 1

Testi di Esercizi e Quesiti 1 Architettura degli Elaboratori, 2009-2010 Testi di Esercizi e Quesiti 1 1. Una rete logica ha quattro variabili booleane di ingresso a 0, a 1, b 0, b 1 e due variabili booleane di uscita z 0, z 1. La specifica

Dettagli

Flip-flop Macchine sequenziali

Flip-flop Macchine sequenziali Flip-flop Macchine sequenziali Introduzione I circuiti digitali possono essere così classificati Circuiti combinatori Il valore delle uscite ad un determinato istante dipende unicamente dal valore degli

Dettagli

Reti Logiche. Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali.

Reti Logiche. Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali. Reti Logiche Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali. - Elaborano informazione rappresentata da segnali digitali, cioe

Dettagli

Programmazione modulare a.s.2015-2016

Programmazione modulare a.s.2015-2016 Programmazione modulare a.s.015-016 Indirizzo:Informatica \Disciplina: Telecomunicazioni Prof. MAIO Patrizia Rosi Filippo Classe:3 A 3 B Informatica ore settimanali 3 di cui di laboratorio) Libro di testo:telecomunicazioni

Dettagli

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche 3.1 LE PORTE LOGICHE E GLI OPERATORI ELEMENTARI 3.2 COMPORTAMENTO A REGIME E IN TRANSITORIO DEI CIRCUITI COMBINATORI I nuovi

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI ALBA ANNO SCOLASTICO 2015/2016 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016 CLASSE 3 I Discip lina: Elettrotecnica ed Elettronica PROGETTAZIONE DIDATTICA ANNUALE Elaborata e sottoscritta dai docenti: cognome

Dettagli

Comparatori. Comparatori di uguaglianza

Comparatori. Comparatori di uguaglianza Comparatori Scopo di un circuito comparatore é il confronto tra due codifiche binarie. Il confronto può essere effettuato per verificare l'uguaglianza oppure una relazione d'ordine del tipo "maggiore",

Dettagli

Codifica binaria dei numeri

Codifica binaria dei numeri Codifica binaria dei numeri Caso più semplice: in modo posizionale (spesso detto codifica binaria tout court) Esempio con numero naturale: con 8 bit 39 = Codifica in virgola fissa dei numeri float: si

Dettagli

Circuiti sequenziali e elementi di memoria

Circuiti sequenziali e elementi di memoria Il Livello Logicoigitale I circuiti sequenziali Corso ACSO prof. Cristina SILVANO Politecnico di Milano Sommario Circuiti sequenziali e elementi di memoria Bistabile SR asincrono Temporizzazione e clock

Dettagli

Rappresentazione dei numeri in un calcolatore

Rappresentazione dei numeri in un calcolatore Corso di Calcolatori Elettronici I A.A. 2010-2011 Rappresentazione dei numeri in un calcolatore Lezione 2 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Rappresentazione dei numeri

Dettagli

Elementi di informatica

Elementi di informatica Elementi di informatica Sistemi di numerazione posizionali Rappresentazione dei numeri Rappresentazione dei numeri nei calcolatori rappresentazioni finalizzate ad algoritmi efficienti per le operazioni

Dettagli

Informatica B a.a 2005/06 (Meccanici 4 squadra) PhD. Ing. Michele Folgheraiter

Informatica B a.a 2005/06 (Meccanici 4 squadra) PhD. Ing. Michele Folgheraiter Informatica B a.a 2005/06 (Meccanici 4 squadra) Scaglione: da PO a ZZZZ PhD. Ing. Michele Folgheraiter Architettura del Calcolatore Macchina di von Neumann Il calcolatore moderno è basato su un architettura

Dettagli

Tecniche per il progetto di sistemi elettronici tolleranti ai guasti

Tecniche per il progetto di sistemi elettronici tolleranti ai guasti Tecniche per il progetto di sistemi elettronici tolleranti ai guasti Fulvio Corno, Maurizio Rebaudengo, Matteo Sonza Reorda Politecnico di Torino Dipartimento di Automatica e Informatica Le tecniche di

Dettagli

Calcolatori Elettronici A a.a. 2008/2009. RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin

Calcolatori Elettronici A a.a. 2008/2009. RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin Calcolatori Elettronici A a.a. 2008/2009 RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin 1 Esercizio 1: implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente

Dettagli

Memorie ROM (Read Only Memory)

Memorie ROM (Read Only Memory) Memorie ROM (Read Only Memory) Considerando la prima forma canonica, la realizzazione di qualsiasi funzione di m variabili richiede un numero di porte AND pari al numero dei suoi mintermini e di prolungare

Dettagli

Aritmetica dei Calcolatori 2

Aritmetica dei Calcolatori 2 Laboratorio di Architettura 13 aprile 2012 1 Operazioni bit a bit 2 Rappresentazione binaria con segno 3 Esercitazione Operazioni logiche bit a bit AND OR XOR NOT IN OUT A B A AND B 0 0 0 0 1 0 1 0 0 1

Dettagli

Sistemi di Numerazione

Sistemi di Numerazione Fondamenti di Informatica per Meccanici Energetici - Biomedici 1 Sistemi di Numerazione Sistemi di Numerazione I sistemi di numerazione sono abitualmente posizionali. Gli elementi costitutivi di un sistema

Dettagli

Macchine combinatorie

Macchine combinatorie Corso di Calcolatori Elettronici I A.A. 2010-2011 Macchine combinatorie Lezione 10 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Analisi e Sintesi di un sistema 1/2 Per analisi di

Dettagli

ALGEBRA DELLE PROPOSIZIONI

ALGEBRA DELLE PROPOSIZIONI Università di Salerno Fondamenti di Informatica Corso di Laurea Ingegneria Corso B Docente: Ing. Giovanni Secondulfo Anno Accademico 2010-2011 ALGEBRA DELLE PROPOSIZIONI Fondamenti di Informatica Algebra

Dettagli

Lezione 3. Sommario. Le operazioni aritmetiche binarie. L aritmetica binaria. La somma La sottrazione La moltiplicazione

Lezione 3. Sommario. Le operazioni aritmetiche binarie. L aritmetica binaria. La somma La sottrazione La moltiplicazione Lezione 3 Le operazioni aritmetiche binarie Sommario L aritmetica binaria La somma La sottrazione La moltiplicazione 1 Definizione Si indica con il termine bit più significativo il bit più a sinistra,

Dettagli

Sistemi di Numerazione Binaria NB.1

Sistemi di Numerazione Binaria NB.1 Sistemi di Numerazione Binaria NB.1 Numeri e numerali Numero: entità astratta Numerale : stringa di caratteri che rappresenta un numero in un dato sistema di numerazione Lo stesso numero è rappresentato

Dettagli

4. Operazioni aritmetiche con i numeri binari

4. Operazioni aritmetiche con i numeri binari I Numeri Binari 4. Operazioni aritmetiche con i numeri binari Contare con i numeri binari Prima di vedere quali operazioni possiamo effettuare con i numeri binari, iniziamo ad imparare a contare in binario:

Dettagli

Parte II Indice. Operazioni aritmetiche tra valori rappresentati in binario puro. Rappresentazione di numeri con segno

Parte II Indice. Operazioni aritmetiche tra valori rappresentati in binario puro. Rappresentazione di numeri con segno Parte II Indice Operazioni aritmetiche tra valori rappresentati in binario puro somma sottrazione Rappresentazione di numeri con segno modulo e segno complemento a 2 esercizi Operazioni aritmetiche tra

Dettagli

Introduzione ai microcontrollori

Introduzione ai microcontrollori Introduzione ai microcontrollori L elettronica digitale nasce nel 1946 con il primo calcolatore elettronico digitale denominato ENIAC e composto esclusivamente di circuiti a valvole, anche se negli anni

Dettagli

Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri

Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri 1 Da base 2 a base 10 I seguenti esercizi richiedono di convertire in base 10 la medesima stringa binaria codificata rispettivamente

Dettagli

Esercizi per il recupero del debito formativo:

Esercizi per il recupero del debito formativo: ANNO SCOLASTICO 2005/2006 CLASSE 3 ISC Esercizi per il recupero del debito formativo: Disegnare il diagramma e scrivere la matrice delle transizioni di stato degli automi a stati finiti che rappresentano

Dettagli

LABORATORIO DI SISTEMI

LABORATORIO DI SISTEMI ALUNNO: Fratto Claudio CLASSE: IV B Informatico ESERCITAZIONE N : 1 LABORATORIO DI SISTEMI OGGETTO: Progettare e collaudare un circuito digitale capace di copiare le informazioni di una memoria PROM in

Dettagli

Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013

Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013 Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013 In questo documento vengono illustrate brevemente le operazioni aritmetiche salienti e quelle logiche ad esse strettamente collegate.

Dettagli

Il concetto di valore medio in generale

Il concetto di valore medio in generale Il concetto di valore medio in generale Nella statistica descrittiva si distinguono solitamente due tipi di medie: - le medie analitiche, che soddisfano ad una condizione di invarianza e si calcolano tenendo

Dettagli

2.12 Esercizi risolti

2.12 Esercizi risolti Codifica dell'informazione 55 Lo standard IEEE prevede cinque cause di eccezione aritmetica: underflow, overflow, divisione per zero, eccezione per inesattezza, e eccezione di invalidità. Le eccezioni

Dettagli

Logica e codifica binaria dell informazione

Logica e codifica binaria dell informazione Politecnico di Milano Corsi di Laurea in Ingegneria Matematica e Ingegneria Fisica Dipartimento di Elettronica ed Informazione Logica e codifica binaria dell informazione Anno Accademico 2002 2003 L. Muttoni

Dettagli

Elementi di informatica

Elementi di informatica Elementi di informatica Sistemi di numerazione posizionali Rappresentazione dei numeri Rappresentazione dei numeri nei calcolatori rappresentazioni finalizzate ad algoritmi efficienti per le operazioni

Dettagli

PROGRAMMAZIONE MODULARE

PROGRAMMAZIONE MODULARE PROGRAMMAZIONE MODULARE ANNO SCOLASTICO 2013-2014 Indirizzo: ELETTROTECNICA - SIRIO Disciplina: ELETTRONICA Classe: 3^ Sezione: AES Numero di ore settimanali: 2 ore di teoria + 2 ore di laboratorio Modulo

Dettagli

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 20-202 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 5 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di

Dettagli

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.6. Unità di controllo microprogrammata

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.6. Unità di controllo microprogrammata Lezione n.6 Unità di controllo microprogrammata 1 Sommario Unità di controllo microprogrammata Ottimizzazione, per ottimizzare lo spazio di memoria occupato Il moltiplicatore binario Esempio di architettura

Dettagli

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0 Rappresentazione dei numeri I numeri che siamo abituati ad utilizzare sono espressi utilizzando il sistema di numerazione decimale, che si chiama così perché utilizza 0 cifre (0,,2,3,4,5,6,7,8,9). Si dice

Dettagli

Algebra Di Boole. Definiamo ora che esiste un segnale avente valore opposto di quello assunto dalla variabile X.

Algebra Di Boole. Definiamo ora che esiste un segnale avente valore opposto di quello assunto dalla variabile X. Algebra Di Boole L algebra di Boole è un ramo della matematica basato sul calcolo logico a due valori di verità (vero, falso). Con alcune leggi particolari consente di operare su proposizioni allo stesso

Dettagli

ESEMPIO 1: eseguire il complemento a 10 di 765

ESEMPIO 1: eseguire il complemento a 10 di 765 COMPLEMENTO A 10 DI UN NUMERO DECIMALE Sia dato un numero N 10 in base 10 di n cifre. Il complemento a 10 di tale numero (N ) si ottiene sottraendo il numero stesso a 10 n. ESEMPIO 1: eseguire il complemento

Dettagli

Alessandro Pellegrini

Alessandro Pellegrini Esercitazione sulle Rappresentazioni Numeriche Esistono 1 tipi di persone al mondo: quelli che conoscono il codice binario e quelli che non lo conoscono Alessandro Pellegrini Cosa studiare prima Conversione

Dettagli

Calcolatori Elettronici B a.a. 2006/2007

Calcolatori Elettronici B a.a. 2006/2007 Calcolatori Elettronici B a.a. 2006/2007 RETI LOGICHE: RICHIAMI Massimiliano Giacomin 1 Due tipi di unità funzionali Elementi di tipo combinatorio: - valori di uscita dipendono solo da valori in ingresso

Dettagli

Codifica binaria dei numeri relativi

Codifica binaria dei numeri relativi Codifica binaria dei numeri relativi Introduzione All interno di un calcolatore, è possibile utilizzare solo 0 e 1 per codificare qualsiasi informazione. Nel caso dei numeri, non solo il modulo ma anche

Dettagli

Calcolatori Elettronici Parte IV: Logica Digitale e Memorie

Calcolatori Elettronici Parte IV: Logica Digitale e Memorie Anno Accademico 2013/2014 Calcolatori Elettronici Parte IV: Logica Digitale e Memorie Prof. Riccardo Torlone Università di Roma Tre Semplici elementi alla base di sistemi complessi Riccardo Torlone - Corso

Dettagli

Algebra di Boole. Le operazioni base sono AND ( ), OR ( + ), NOT ( )

Algebra di Boole. Le operazioni base sono AND ( ), OR ( + ), NOT ( ) Algebra di Boole Circuiti logici: componenti hardware preposti all'elaborazione delle informazioni binarie. PORTE LOGICHE (logical gate): circuiti di base. Allo scopo di descrivere i comportamenti dei

Dettagli

Rappresentazione delle informazioni

Rappresentazione delle informazioni Rappresentazione delle informazioni Abbiamo informazioni (numeri, caratteri, immagini, suoni, video... ) che vogliamo rappresentare (e poter elaborare) in un calcolatore. Per motivi tecnologici un calcolatore

Dettagli

I sistemi di numerazione

I sistemi di numerazione I sistemi di numerazione 01-INFORMAZIONE E SUA RAPPRESENTAZIONE Sia dato un insieme finito di caratteri distinti, che chiameremo alfabeto. Utilizzando anche ripetutamente caratteri di un alfabeto, si possono

Dettagli

IL CODICE BINARIO. Il codice binario. Codifica posizionale. Aritmetica binaria

IL CODICE BINARIO. Il codice binario. Codifica posizionale. Aritmetica binaria IL CODICE BINARIO Il codice binario Codifica posizionale Aritmetica binaria www.stoianov.it 1 CODIFICA DI BASE La voce si distribuisce con onde di frequenze 20-20.000 Hz La luce sta nel ordine di 500.000.000.000.000

Dettagli

Architettura hardware

Architettura hardware Architettura dell elaboratore Architettura hardware la parte che si può prendere a calci Sistema composto da un numero elevato di componenti, in cui ogni componente svolge una sua funzione elaborazione

Dettagli

CPU. Maurizio Palesi

CPU. Maurizio Palesi CPU Central Processing Unit 1 Organizzazione Tipica CPU Dispositivi di I/O Unità di controllo Unità aritmetico logica (ALU) Terminale Stampante Registri CPU Memoria centrale Unità disco Bus 2 L'Esecutore

Dettagli

Dispensa di Informatica I.1

Dispensa di Informatica I.1 IL COMPUTER: CONCETTI GENERALI Il Computer (o elaboratore) è un insieme di dispositivi di diversa natura in grado di acquisire dall'esterno dati e algoritmi e produrre in uscita i risultati dell'elaborazione.

Dettagli

Laurea Specialistica in Informatica

Laurea Specialistica in Informatica Corso di Laurea in FISICA Laurea Specialistica in Informatica Fisica dell informazione 1 Elementi di Architettura degli elaboratori Prof. Luca Gammaitoni Informazioni sul corso: www.fisica.unipg unipg.it/gammaitoni/fisinfoit/gammaitoni/fisinfo

Dettagli

Architettura dei Calcolatori Reti Sequenziali Sincrone

Architettura dei Calcolatori Reti Sequenziali Sincrone Architettura dei Calcolatori Reti Sequenziali Sincrone Ing. dell Automazione A.A. 2011/12 Gabriele Cecchetti Reti Sequenziali Sincrone Sommario: Introduzione, tipi e definizione Condizioni per il corretto

Dettagli

APPUNTI DI ELETTRONICA DIGITALE

APPUNTI DI ELETTRONICA DIGITALE APPUNTI DI ELETTRONICA DIGITALE ITIS MARCONI-GORGONZOLA docente :dott.ing. Paolo Beghelli pag.1/24 Indice 1.ELETTRONICA DIGITALE 4 1.1 Generalità 4 1.2 Sistema di numerazione binario 4 1.3 Operazioni con

Dettagli

RAPPRESENTAZIONE BINARIA DEI NUMERI. Andrea Bobbio Anno Accademico 1996-1997

RAPPRESENTAZIONE BINARIA DEI NUMERI. Andrea Bobbio Anno Accademico 1996-1997 1 RAPPRESENTAZIONE BINARIA DEI NUMERI Andrea Bobbio Anno Accademico 1996-1997 Numeri Binari 2 Sistemi di Numerazione Il valore di un numero può essere espresso con diverse rappresentazioni. non posizionali:

Dettagli

Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo

Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo SCH 33 Voltmetro a 3 digit Obiettivi Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo IC1 = CA 3162 A/D converter for 3-Digit Display IC2 = CA 3161 BCD to seven segment

Dettagli

Capitolo. Interfacciamento di periferiche I/O con il PC. 1.1 Il BUS di espansione del PC

Capitolo. Interfacciamento di periferiche I/O con il PC. 1.1 Il BUS di espansione del PC Capitolo 1 Interfacciamento di periferiche I/O con il PC 1.1 Il BUS di espansione del PC 1.2 Interfacciamento di periferiche I/O con il PC, con dispositivi non programmabili 1.3 Istruzioni per leggere

Dettagli

Università degli Studi di Cassino Corso di Fondamenti di Informatica Codifica di dati e istruzioni. Anno Accademico 2010/2011 Francesco Tortorella

Università degli Studi di Cassino Corso di Fondamenti di Informatica Codifica di dati e istruzioni. Anno Accademico 2010/2011 Francesco Tortorella Corso di Fondamenti di Informatica Codifica di dati e istruzioni Anno Accademico 2010/2011 Francesco Tortorella La codifica dei dati e delle istruzioni La più piccola unità di informazione memorizzabile

Dettagli

Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari. Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08

Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari. Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08 Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari Mariagiovanna Sami Corso di reti Logiche 8 Anno 27-8 8 Quali componenti, se non AND e OR (e NOT )? Si è detto inizialmente che

Dettagli

Il processore. Il processore. Il processore. Il processore. Architettura dell elaboratore

Il processore. Il processore. Il processore. Il processore. Architettura dell elaboratore Il processore Architettura dell elaboratore Il processore La esegue istruzioni in linguaggio macchina In modo sequenziale e ciclico (ciclo macchina o ciclo ) Effettuando operazioni di lettura delle istruzioni

Dettagli

Reti sequenziali sincrone

Reti sequenziali sincrone Reti sequenziali sincrone Un approccio strutturato (7.1-7.3, 7.5-7.6) Modelli di reti sincrone Analisi di reti sincrone Descrizioni e sintesi di reti sequenziali sincrone Sintesi con flip-flop D, DE, T

Dettagli

Sistema di numerazione binario, operazioni relative e trasformazione da base due a base dieci e viceversa di Luciano Porta

Sistema di numerazione binario, operazioni relative e trasformazione da base due a base dieci e viceversa di Luciano Porta Sistema di numerazione binario, operazioni relative e trasformazione da base due a base dieci e viceversa di Luciano Porta Anche se spesso si afferma che il sistema binario, o in base 2, fu inventato in

Dettagli

Appunti di informatica. Lezione 2 anno accademico 2015-2016 Mario Verdicchio

Appunti di informatica. Lezione 2 anno accademico 2015-2016 Mario Verdicchio Appunti di informatica Lezione 2 anno accademico 2015-2016 Mario Verdicchio Sistema binario e logica C è un legame tra i numeri binari (0,1) e la logica, ossia la disciplina che si occupa del ragionamento

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Università degli Studi di Messina Facolta di Ingegneria - 98100 Messina Tel. (090) 393229 - Fax (090) 393502 Fondamenti di Informatica Ing. delle Tecnologie Industriali Docente: Ing. Mirko Guarnera 1 Sistemi

Dettagli

Circuiti amplificatori

Circuiti amplificatori Circuiti amplificatori G. Traversi Strumentazione e Misure Elettroniche Corso Integrato di Elettrotecnica e Strumentazione e Misure Elettroniche 1 Amplificatori 2 Amplificatori Se A V è negativo, l amplificatore

Dettagli

CASSA AUTOMATICA SelfCASH

CASSA AUTOMATICA SelfCASH CASSA AUTOMATICA SelfCASH Caratteristiche Generali Hardware Transazioni Accetta pagamenti e dà il resto controllando l autenticità delle monete e delle banconote. Monete Accetta monete da 0,10, 0,20, 0,50,

Dettagli

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi:

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi: Modulo 8 Elettronica Digitale Contenuti: Introduzione Sistemi di numerazione posizionali Sistema binario Porte logiche fondamentali Porte logiche universali Metodo della forma canonica della somma per

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2. http://digilander.libero.it/rosario.cerbone

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2. http://digilander.libero.it/rosario.cerbone LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2 Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 2007-2008 Logica Combinatoria una rete combinatoria

Dettagli

L unità di controllo. Il processore: unità di controllo. Le macchine a stati finiti. Struttura della macchina a stati finiti

L unità di controllo. Il processore: unità di controllo. Le macchine a stati finiti. Struttura della macchina a stati finiti Il processore: unità di lo Architetture dei Calcolatori (lettere A-I) L unità di lo L unità di lo è responsabile della generazione dei segnali di lo che vengono inviati all unità di elaborazione Alcune

Dettagli

Dispense di Informatica per l ITG Valadier

Dispense di Informatica per l ITG Valadier La notazione binaria Dispense di Informatica per l ITG Valadier Le informazioni dentro il computer All interno di un calcolatore tutte le informazioni sono memorizzate sottoforma di lunghe sequenze di

Dettagli

Informatica Generale 02 - Rappresentazione numeri razionali

Informatica Generale 02 - Rappresentazione numeri razionali Informatica Generale 02 - Rappresentazione numeri razionali Cosa vedremo: Rappresentazione binaria dei numeri razionali Rappresentazione in virgola fissa Rappresentazione in virgola mobile La rappresentazione

Dettagli

Codici a barre. Marco Dell Oro. January 4, 2014

Codici a barre. Marco Dell Oro. January 4, 2014 Codici a barre Marco Dell Oro January 4, 2014 1 Cosa sono i codici a barre I codici a barre sono dei codici utilizzati nel settore della produzione e del commercio, oltre che nel settore pubblicitario

Dettagli

Reti sequenziali. Esempio di rete sequenziale: distributore automatico.

Reti sequenziali. Esempio di rete sequenziale: distributore automatico. Reti sequenziali 1 Reti sequenziali Nelle RETI COMBINATORIE il valore logico delle variabili di uscita, in un dato istante, è funzione solo dei valori delle variabili di ingresso in quello stesso istante.

Dettagli

I componenti di un Sistema di elaborazione. Memoria centrale. È costituita da una serie di CHIP disposti su una scheda elettronica

I componenti di un Sistema di elaborazione. Memoria centrale. È costituita da una serie di CHIP disposti su una scheda elettronica I componenti di un Sistema di elaborazione. Memoria centrale Memorizza : istruzioni dati In forma BINARIA : 10001010101000110101... È costituita da una serie di CHIP disposti su una scheda elettronica

Dettagli

Corso di Laurea in Informatica Architetture degli Elaboratori

Corso di Laurea in Informatica Architetture degli Elaboratori Corso di Laurea in Informatica Architetture degli Elaboratori Corsi A e B Esonero del 25 maggio 2005 Esercizio 1 (punti 3) Una scheda di memoria di un telefono cellulare mette a disposizione 8Mbyte di

Dettagli

I.I.S. Primo Levi Badia Polesine A.S. 2012-2013

I.I.S. Primo Levi Badia Polesine A.S. 2012-2013 LGEBR DI BOOLE I.I.S. Primo Levi Badia Polesine.S. 2012-2013 Nel secolo scorso il matematico e filosofo irlandese Gorge Boole (1815-1864), allo scopo di procurarsi un simbolismo che gli consentisse di

Dettagli

Registri. «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net

Registri. «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net Registri Registri semplici....................................... 1823 Registri a scorrimento..................................

Dettagli

introduzione I MICROCONTROLLORI

introduzione I MICROCONTROLLORI introduzione I MICROCONTROLLORI Definizione Un microcontrollore è un dispositivo elettronico programmabile Può svolgere autonomamente diverse funzioni in base al programma in esso implementato Non è la

Dettagli

Informatica. Rappresentazione dei numeri Numerazione binaria

Informatica. Rappresentazione dei numeri Numerazione binaria Informatica Rappresentazione dei numeri Numerazione binaria Sistemi di numerazione Non posizionali: numerazione romana Posizionali: viene associato un peso a ciascuna posizione all interno della rappresentazione

Dettagli

FSM: Macchine a Stati Finiti

FSM: Macchine a Stati Finiti FSM: Macchine a Stati Finiti Sommario Introduzione Automi di Mealy Automi di Moore Esempi Introduzione Metodo per descrivere macchine di tipo sequenziale Molto utile per la descrizione di Unità di controllo

Dettagli

P R O G E T T O L A R S A A P P U N T I S U L P. L. C.

P R O G E T T O L A R S A A P P U N T I S U L P. L. C. P R O G E T T O L A R S A A P P U N T I S U L P. L. C. L automazione di un qualunque procedimento industriale si ottiene mediante un insieme d apparecchiature, opportunamente collegate tra loro, in modo

Dettagli

C. P. U. MEMORIA CENTRALE

C. P. U. MEMORIA CENTRALE C. P. U. INGRESSO MEMORIA CENTRALE USCITA UNITA DI MEMORIA DI MASSA La macchina di Von Neumann Negli anni 40 lo scienziato ungherese Von Neumann realizzò il primo calcolatore digitale con programma memorizzato

Dettagli

Esame di INFORMATICA

Esame di INFORMATICA Università di L Aquila Facoltà di Biotecnologie Esame di INFORMATICA Lezione 4 MACCHINA DI VON NEUMANN Anni 40 i dati e i programmi che descrivono come elaborare i dati possono essere codificati nello

Dettagli

LA TRASMISSIONE DELLE INFORMAZIONI QUARTA PARTE 1

LA TRASMISSIONE DELLE INFORMAZIONI QUARTA PARTE 1 LA TRASMISSIONE DELLE INFORMAZIONI QUARTA PARTE 1 I CODICI 1 IL CODICE BCD 1 Somma in BCD 2 Sottrazione BCD 5 IL CODICE ECCESSO 3 20 La trasmissione delle informazioni Quarta Parte I codici Il codice BCD

Dettagli

Ciclo di Istruzione. Ciclo di Istruzione. Controllo. Ciclo di Istruzione (diagramma di flusso) Lezione 5 e 6

Ciclo di Istruzione. Ciclo di Istruzione. Controllo. Ciclo di Istruzione (diagramma di flusso) Lezione 5 e 6 Ciclo di Istruzione Può essere suddiviso in 4 tipi di sequenze di microoperazioni (cioè attività di calcolo aritmetico/logico, trasferimento e memorizzazione dei dati), non tutte necessariamente da realizzare

Dettagli

I componenti di un Sistema di elaborazione. CPU (central process unit)

I componenti di un Sistema di elaborazione. CPU (central process unit) I componenti di un Sistema di elaborazione. CPU (central process unit) I componenti di un Sistema di elaborazione. CPU (central process unit) La C.P.U. è il dispositivo che esegue materialmente gli ALGORITMI.

Dettagli

Componenti combinatori

Componenti combinatori Componenti combinatori Reti combinatorie particolari (5.., 5.3-5.8, 5.) Reti logiche per operazioni aritmetiche Decoder ed encoder Multiplexer Dispositivi programmabili: PROM e PLA Reti combinatorie particolari

Dettagli

Utilizzo I mintermini si usano quando si considererà la funzione di uscita Q come Somma di Prodotti (S. P.) ossia OR di AND.

Utilizzo I mintermini si usano quando si considererà la funzione di uscita Q come Somma di Prodotti (S. P.) ossia OR di AND. IPSI G. Plana Via Parenzo 46, Torino efinizione di Mintermine onsiderata una qualunque riga della tabella di verità in cui la funzione booleana di uscita Q vale, si definisce mintermine il prodotto logico

Dettagli