Elaborato di Elettronica Digitale C.d.L. in Ingegneria Elettronica Anno accademico 02/ 03

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Elaborato di Elettronica Digitale C.d.L. in Ingegneria Elettronica Anno accademico 02/ 03"

Transcript

1 Elaborato di Elettronica Digitale C.d.L. in Ingegneria Elettronica Anno accademico 0/ 03 Alfredo Caferra 58/463

2 OGGETTO DELL ELABORATO Per una SRAM con celle di memoria NMOS a 4 transistori con bit lines precaricate a VDD, con capacità di memoria pari a 6 Mbit, utilizzando per i MOS i parametri riportati nel libro di testo, W/L = / um (per il mos comandato della cella), e CL = pf (capacità equivalente della linea dato e dato negato), VDD = 3.3 V, dimensionare i transistori delle porte e della cella e il carico in polisilicio (50Mohm a quadrato), per: a) limitare la dissipazione di potenza statica a 0.3 W. b) mantenere il valore logico basso al nodo della cella inferiore a 0.4 V; c) si determini in via analitica approssimata il tempo di lettura richiesto per portare il valore di VOL sulla bit line al valore di 0. V. d) si effettui una simulazione switcher CAD del circuito (con opportuno disegno di lay-out), per confrontare i risultati ottenuti con quelli valutati analiticamente. PROGETTO DELLA SRAM Figura Nella figura è rappresentato schema di una memoria SRAM a quattro transistori NMOS. L elemento di memoria è realizzato con una coppia di invertitori NMOS con carico resistivo. La word line (riga), viene usata come ingresso di abilitazione per le celle, e quindi la riga selezionata abilita tutte le celle di quella stessa riga. Poi la bit line (colonna) selezionata definisce quale cella è abilitata alla lettura o alla scrittura;

3 in realtà ogni colonna prevede due bit line, che portano una D e l altra D : si usa tale ridondanza proprio per facilitare le operazioni sulla cella. Il progetto di questo tipo di porte logiche prevede un compromesso nella scelta del carico resistivo: da un lato abbiamo la potenza dissipata dal circuito, che diminuisce al crescere di R, e dall altro il tempo di propagazione basso alto che, essendo proporzionale alla costante di tempo RC, aumenta all aumentare della resistenza di carico. Nel caso delle memorie SRAM, come per tutti i circuiti a larga scala di integrazione, il problema maggiore è quello della potenza dissipabile, a causa del fatto che ogni cella dissipa potenza anche nello stato di riposo. DIMENSIONAMENTO DI RPOLY E DEI TRANSISTORI 7 Il nostro obiettivo è realizzare una memoria SRAM 4T di 6Mbit ( celle), con resistenze di carico di 5 0 Ω/, alimentata a V DD = 3. 3 V con una potenza dissipata statica di 0.3 W. La potenza dissipata da ogni cella nel nostro caso sarà pertanto: WT 0.3 Pc = = 0. 88nW 7 N.6 0 = celle Il valore delle resistenze di carico viene pertanto scelto quanto più alto possibile, e compatibilmente con la struttura dei dispositivi NMOS, può essere anche dell ordine di grandezza di 0 Ω. Per calcolare il valore delle resistenze di carico, basta notare che in ogni cella di memoria, indipendentemente dal valore logico immagazzinato, uno soltanto dei due MOS M o M sarà in conduzione. In tal caso la resistenza da utilizzare sarà: VDD (3.3) Rpoly = = = 580MΩ 9 P c L operazione più complessa nelle SRAM è la lettura del dato immagazzinato; mentre scrivere un bit nella cella non presenta difficoltà, in quanto basta forzare un ingresso al valore alto, e l altro al valore basso, tramite due diverse tensioni sulle linee D e D, non è altrettanto facile la lettura di tale bit. Infatti la lettura del dato prevede che il punto a V OH e quello a V OL modifichino le tensioni presenti sulle bit lines, nel momento in cui si attivano le porte di trasmissione: a causa di ciò c è il rischio di perdere l informazione contenuta nella cella in seguito a tale operazione. 3

4 Una soluzione che riduce tale rischio, è quella di precaricare le linee dati prima dell operazione di lettura alla tensione V DD, e di lasciarle cariche durante tale operazione. Quando le porte di trasmissione si aprono, le capacità delle bit lines sono collegate alle uscite della cella: la capacità che ha una tensione differente da quella della rispettiva uscita, viene scaricata attraverso la corrente di uscita ed è possibile individuare il dato immagazzinato nella cella. Come evidenzia la figura, per ogni coppia di bitlines è prevista la presenza di una coppia di PMOS. L'operazione di lettura si effettua nel modo seguente: dapprima si abbassa il segnale di precarica y, i due PMOS entrano in conduzione e precaricano le capacità parassite C 0 e C delle due bitlines, al valore alto. Completata la fase di precarica, i due PMOS vengono portati in interdizione ed in seguito si effettua la lettura vera e propria della cella attivando la wordline w, ponendola a livello logico alto. Il corretto funzionamento di questa cella SRAM richiede una certa attenzione nel dimensionamento dei dispositivi MOS che la compongono, e alcune considerazioni preliminari. Si consideri, ad esempio, la seguente situazione: Figura Le capacità C 0 e C sono precaricate al valore logico alto; i due PMOS sono ora interdetti; prima dell'attivazione della word line, M è in conduzione mentre M è interdetto. Il potenziale del nodo Q è quindi a livello logico alto ( V DD ) mentre Q è a livello basso. Dopo l'attivazione della word line, nel modo evidenziato nella figura, i due transistori T e T entrano in conduzione: la capacità C si scarica attraverso la serie di M e T, mentre la capacità C 0 resta carica a V DD. 4

5 Figura 3 Per quanto riguarda il lato della cella costituito da M e T, trascurando la presenza della resistenza di carico, si ha la situazione mostrata in figura 3: la serie dei due transistori. Il passaggio di corrente legato alla scarica di C comporta un aumento del potenziale del nodo Q, rischiando di falsare il contenuto della cella. Per evitare di alterare lo stato della cella di memoria, è necessario che risulti almeno: V( Q ) V Th in modo da garantire che il transistore M resti in interdizione. Il dimensionamento dei due transistori M e T deve essere realizzato considerando la condizione: V ( Q ) = 0.4V = Si può inoltre ipotizzare che il potenziale della B rimanga costante e pari al valore logico alto, nella fase iniziale del transitorio, grazie all'elevato valore delle capacità. Se la condizione V( Q ) = 0. 4V è verificata, risulta: ( ) VTh V DS M = ; V GS ( M) = VDD ; VTh Dunque paragonando questi due risultati: VDS ( M) < VGS ( M), il che vuol dire che M è in regione di triodo. V Th La corrente che attraversa il dispositivo è: I D ( M ) = K M [ ( V GS V Th ) V DS V DS ] = K 5 M [( V Per quanto riguarda il transistore T abbiamo: DD V Th ) V Th V 4 Th ].

6 V V VTh T ) = VDD ; VGS ( T ) = VDD ; Th DS ( Ovviamente VDS ( T ) = VGS ( T ), il che implica T in pinch-off. La corrente che attraversa il secondo transistore è: 3 ID ( T ) = KT( VGS VTh) = KT( VDD VTh) Per dimensionare correttamente il transistore T si possono eguagliare le due correnti, ottenendo: W VTh W 3 [( VDD VTh) VTh ] = ( VDD VTh). L 4 L M Nel nostro caso abbiamo che V DD = 3. 3, ossia circa 4V Th. Il rapporto W/L per M è /; sostituendo i valori noti nell equazione precedente ( VDD = 3. 3 V, V Th = 0. 8V ), si ottiene un rapporto ( W ) circa L T pari a /. T VALUTAZIONE DEL TEMPO DI LETTURA Operando per via analitica approssimata, calcoliamo il tempo di lettura richiesto per portare il valore di 0. V di V OL sulla bit line B. Tensione e corrente ai capi della capacità C sono legate dalla nota relazione: dv C dv i( t) = C dt = dt i( t) Integrando tale espressione tra l istante iniziale e quello finale (quando ormai C è scarica) si ottiene il tempo di scarica della capacità, cioè: t OL tscarica = dt = t VDD 6 V C dv i Per semplificare i calcoli, consideriamo la corrente di scarica costante e pari alla corrente media di pinch-off dei dispositivi, tenendo presente la serie dei due NMOS, con un K N equivalente opportunamente calcolato. Quando C è carico, la corrente I D vale: KT μa ID = ( VGS VTh) = 50 (.) V = 55μA V dove la costante K è quella per i MOSFET con T ox = 0nm. C

7 Invece per C scarico, I D è nulla: I I = DM pertanto il tempo tscarica risulta: C t scarica = I DM + I D D = 8μA p ΔV = 3. 8μ = 0.μ s L'operazione di scrittura nella cella, come già accennato, non comporta particolari difficoltà. Supponiamo di voler cambiare lo stato della cella in figura. All'inizio della fase di scrittura, la bitline B è stata portata a livello logico basso e la bitline B a quello alto. Dopo l'attivazione della word line, il transistore T entra in conduzione e, grazie all'elevato valore della resistenza di carica, porta rapidamente il potenziale del nodo Q a livello basso. In questo modo, il transistore M si interdice ed il MOSFET T porta il potenziale del nodo Q a livello logico alto. Il MOS M entra pertanto in conduzione, completando la commutazione richiesta. 7

8 SIMULAZIONE SWITCHER CAD Dal punto di vista circuitale la cella dinamica si presenta così: I generatori V e V4 sono dei generatori che si accendono dopo qualche microsecondo per permettere le seguenti operazioni: - fino ad 8 μ s entrambi i generatori sono spenti; la word line è a livello basso, e il segnale di precarica anche: in tal modo i PMOS sono attivi e precaricano le due capacità. - successivamente, una volta interdetti i due PMOS, si attiva la word line ed inizia la lettura. Nei grafici seguenti si evince che il tempo necessario a portare il livello logico basso a B è molto simile (compatibilmente con le approssimazioni fatte) a quello trovato analiticamente: 0.μ s Inoltre si può notare come il valore del nodo Q tenda ad aumentare, rispettando però le condizioni imposte. 8

9 . 9

10 Infine, nella medesima simulazione, analizzando i grafici delle correnti nelle capacità, si nota come la corrente di scarica di C sia quasi nulla, mentre quella di C, in media, è più o meno pari a quella trovata analiticamente, anche se comunque si evidenziano i limiti delle approssimazioni effettuate. 0

11 LAYOUT Questo è il Layout della cella, elaborato tramite microwind (caricando il file edu.rul).

Fig. 1. Cella SRAM a 4 transistori.

Fig. 1. Cella SRAM a 4 transistori. NOTE SULLE MEMORIE. Dimensionamento della cella SRAM 4T La Fig. 1 mostra lo schema di una memoria SRAM a 4 transistori (4T). L elemento di memoria è realizzato con una coppia di invertitori NMOS con carico

Dettagli

Elettronica I Potenza dissipata dalle porte logiche CMOS

Elettronica I Potenza dissipata dalle porte logiche CMOS Elettronica I Potenza dissipata dalle porte logiche MOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 rema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Mercato delle memorie non-volatili

Mercato delle memorie non-volatili Memory TREE Mercato delle memorie non-volatili Organizzazione della memoria Row Address 1 2 M Row D e c o d e r M 2 rows 1 Bitline One Storage ell ell Array Wordline Row Decoder 2 M 1 2 N Sense Amplifiers

Dettagli

Consumo di Potenza nell inverter CMOS. Courtesy of Massimo Barbaro

Consumo di Potenza nell inverter CMOS. Courtesy of Massimo Barbaro Consumo di Potenza nell inverter CMOS Potenza dissipata Le componenti del consumo di potenza sono 3: Potenza statica: è quella dissipata quando l inverter ha ingresso costante, in condizioni di stabilità

Dettagli

Laboratorio 3: Celle di memoria RAM

Laboratorio 3: Celle di memoria RAM Laboratorio 3: Celle di memoria RAM Ing. Ivan Blunno 21 aprile 2005 1 Cella RAM statica a 4 transistor Realizzare il circuito di figura 1 rappresentante una cella di RAM statica a 4 transistor. Assegnare

Dettagli

Amplificatori Audio di Potenza

Amplificatori Audio di Potenza Amplificatori Audio di Potenza Un amplificatore, semplificando al massimo, può essere visto come un oggetto in grado di aumentare il livello di un segnale. Ha quindi, generalmente, due porte: un ingresso

Dettagli

"Elettronica di Millman 4/ed" Jacob Millman, Arvin Grabel, Pierangelo Terreni Copyright 2008 The McGraw-Hill Companies srl. 16 to 1 MUX.

Elettronica di Millman 4/ed Jacob Millman, Arvin Grabel, Pierangelo Terreni Copyright 2008 The McGraw-Hill Companies srl. 16 to 1 MUX. Copyright 008 The McGraw-Hill Companies srl Esercizi Cap 6 6 Disegnare lo schema a blocchi di una OM 04 x 4 bit con un indirizzamento bidimensionale a) Quante porte NAND sono necessarie? b) Quanti transistori

Dettagli

Transitori del primo ordine

Transitori del primo ordine Università di Ferrara Corso di Elettrotecnica Transitori del primo ordine Si consideri il circuito in figura, composto da un generatore ideale di tensione, una resistenza ed una capacità. I tre bipoli

Dettagli

Circuiti amplificatori

Circuiti amplificatori Circuiti amplificatori G. Traversi Strumentazione e Misure Elettroniche Corso Integrato di Elettrotecnica e Strumentazione e Misure Elettroniche 1 Amplificatori 2 Amplificatori Se A V è negativo, l amplificatore

Dettagli

LATCH E FLIP-FLOP. Fig. 1 D-latch trasparente per ck=1

LATCH E FLIP-FLOP. Fig. 1 D-latch trasparente per ck=1 LATCH E FLIPFLOP. I latch ed i flipflop sono gli elementi fondamentali per la realizzazione di sistemi sequenziali. In entrambi i circuiti la temporizzazione è affidata ad un opportuno segnale di cadenza

Dettagli

Fondamenti di macchine elettriche Corso SSIS 2006/07

Fondamenti di macchine elettriche Corso SSIS 2006/07 9.13 Caratteristica meccanica del motore asincrono trifase Essa è un grafico cartesiano che rappresenta l andamento della coppia C sviluppata dal motore in funzione della sua velocità n. La coppia è legata

Dettagli

V= R*I. LEGGE DI OHM Dopo aver illustrato le principali grandezze elettriche è necessario analizzare i legami che vi sono tra di loro.

V= R*I. LEGGE DI OHM Dopo aver illustrato le principali grandezze elettriche è necessario analizzare i legami che vi sono tra di loro. LEGGE DI OHM Dopo aver illustrato le principali grandezze elettriche è necessario analizzare i legami che vi sono tra di loro. PREMESSA: Anche intuitivamente dovrebbe a questo punto essere ormai chiaro

Dettagli

Come visto precedentemente l equazione integro differenziale rappresentativa dell equilibrio elettrico di un circuito RLC è la seguente: 1 = (1)

Come visto precedentemente l equazione integro differenziale rappresentativa dell equilibrio elettrico di un circuito RLC è la seguente: 1 = (1) Transitori Analisi nel dominio del tempo Ricordiamo che si definisce transitorio il periodo di tempo che intercorre nel passaggio, di un sistema, da uno stato energetico ad un altro, non è comunque sempre

Dettagli

Esercitazione n 1: Circuiti di polarizzazione (1/2)

Esercitazione n 1: Circuiti di polarizzazione (1/2) Esercitazione n 1: Circuiti di polarizzazione (1/2) 1) Per il circuito in Fig. 1 determinare il valore delle resistenze R B ed R C affinché: = 3 ma - V CE = 7 V. Siano noti: = 15 V; β = 120; V BE = 0,7

Dettagli

Appunti di informatica. Lezione 2 anno accademico 2015-2016 Mario Verdicchio

Appunti di informatica. Lezione 2 anno accademico 2015-2016 Mario Verdicchio Appunti di informatica Lezione 2 anno accademico 2015-2016 Mario Verdicchio Sistema binario e logica C è un legame tra i numeri binari (0,1) e la logica, ossia la disciplina che si occupa del ragionamento

Dettagli

Memory TREE. Luigi Zeni DII-SUN Fondamenti di Elettronica Digitale

Memory TREE. Luigi Zeni DII-SUN Fondamenti di Elettronica Digitale Memory TREE Mercato delle memorie non-volatili Organizzazione della memoria Row Address 1 2 M Row D e c o d e r M 2 rows 1 Bitline One Storage Cell Cell Array Wordline Row Decoder 2 M 1 2 N Sense Amplifiers

Dettagli

Famiglie logiche. Abbiamo visto come, diversi anni fa, venivano realizzate in concreto le funzioni

Famiglie logiche. Abbiamo visto come, diversi anni fa, venivano realizzate in concreto le funzioni Famiglie logiche I parametri delle famiglie logiche Livelli di tensione TTL Le correnti di source e di sink Velocità di una famiglia logica Vcc Il consumo Fan-in La densità di integrazione I parametri

Dettagli

INTEGRATORE E DERIVATORE REALI

INTEGRATORE E DERIVATORE REALI INTEGRATORE E DERIVATORE REALI -Schemi elettrici: Integratore reale : C1 R2 vi (t) R1 vu (t) Derivatore reale : R2 vi (t) R1 C1 vu (t) Elenco componenti utilizzati : - 1 resistenza da 3,3kΩ - 1 resistenza

Dettagli

a b c Figura 1 Generatori ideali di tensione

a b c Figura 1 Generatori ideali di tensione Generatori di tensione e di corrente 1. La tensione ideale e generatori di corrente Un generatore ideale è quel dispositivo (bipolo) che fornisce una quantità di energia praticamente infinita (generatore

Dettagli

Visione d insieme DOMANDE E RISPOSTE SULL UNITÀ

Visione d insieme DOMANDE E RISPOSTE SULL UNITÀ Visione d insieme DOMANDE E RISPOSTE SULL UNITÀ Che cos è la corrente elettrica? Nei conduttori metallici la corrente è un flusso di elettroni. L intensità della corrente è il rapporto tra la quantità

Dettagli

Dispense di Informatica per l ITG Valadier

Dispense di Informatica per l ITG Valadier La notazione binaria Dispense di Informatica per l ITG Valadier Le informazioni dentro il computer All interno di un calcolatore tutte le informazioni sono memorizzate sottoforma di lunghe sequenze di

Dettagli

LA CORRENTE ELETTRICA CONTINUA

LA CORRENTE ELETTRICA CONTINUA LA CORRENTE ELETTRICA CONTINUA (Fenomeno, indipendente dal tempo, che si osserva nei corpi conduttori quando le cariche elettriche fluiscono in essi.) Un conduttore metallico è in equilibrio elettrostatico

Dettagli

Esercitazione N. 1 Misurazione di resistenza con metodo volt-amperometrico

Esercitazione N. 1 Misurazione di resistenza con metodo volt-amperometrico Esercitazione N. 1 Misurazione di resistenza con metodo volt-amperometrico 1.1 Lo schema di misurazione Le principali grandezze elettriche che caratterizzano un bipolo in corrente continua, quali per esempio

Dettagli

Calcolatori: Algebra Booleana e Reti Logiche

Calcolatori: Algebra Booleana e Reti Logiche Calcolatori: Algebra Booleana e Reti Logiche 1 Algebra Booleana e Variabili Logiche I fondamenti dell Algebra Booleana (o Algebra di Boole) furono delineati dal matematico George Boole, in un lavoro pubblicato

Dettagli

Istituto Tecnico Industriale Statale Enrico Mattei

Istituto Tecnico Industriale Statale Enrico Mattei Istituto Tecnico Industriale Statale Enrico Mattei Specializzazione di Elettronica ed Elettrotecnica URBINO Corso di Sistemi Automatici Elettronici ESERCITAZIONE TRASFORMATA DI LAPLACE Circuiti del primo

Dettagli

5. Coppie differenziali di transistori bipolari

5. Coppie differenziali di transistori bipolari 5. Coppie differenziali di transistori bipolari Vediamo ora una semplice struttura adatta a realizzare amplificatori di tensione differenziali. Ci preoccupiamo in questo paragrafo di dare alcune definizioni

Dettagli

Correnti e circuiti a corrente continua. La corrente elettrica

Correnti e circuiti a corrente continua. La corrente elettrica Correnti e circuiti a corrente continua La corrente elettrica Corrente elettrica: carica che fluisce attraverso la sezione di un conduttore in una unità di tempo Q t Q lim t 0 t ntensità di corrente media

Dettagli

I CIRCUITI ELETTRICI. Prima di tutto occorre mettersi d accordo anche sui nomi di alcune parti dei circuiti stessi.

I CIRCUITI ELETTRICI. Prima di tutto occorre mettersi d accordo anche sui nomi di alcune parti dei circuiti stessi. I CIRCUITI ELETTRICI Prima di tutto occorre mettersi d accordo anche sui nomi di alcune parti dei circuiti stessi. Definiamo ramo un tratto di circuito senza diramazioni (tratto evidenziato in rosso nella

Dettagli

Collegamento a terra degli impianti elettrici

Collegamento a terra degli impianti elettrici Collegamento a terra degli impianti elettrici E noto che il passaggio di corrente nel corpo umano provoca dei danni che possono essere irreversibili se il contatto dura troppo a lungo. Studi medici approfonditi

Dettagli

TRANSITORI BJT visto dal basso

TRANSITORI BJT visto dal basso TRANSITORI BJT visto dal basso Il transistore BJT viene indicato con il simbolo in alto a sinistra, mentre nella figura a destra abbiamo riportato la vista dal basso e laterale di un dispositivo reale.

Dettagli

Elettronica I - Laboratorio Didattico - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA

Elettronica I - Laboratorio Didattico - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA Elettronica I - Laboratorio Didattico - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA Generatore di Funzioni T T i - TG2000 Generatore di Funzioni T T i - TG2000 Genera i segnali di tensione Uscita

Dettagli

risulta (x) = 1 se x < 0.

risulta (x) = 1 se x < 0. Questo file si pone come obiettivo quello di mostrarvi come lo studio di una funzione reale di una variabile reale, nella cui espressione compare un qualche valore assoluto, possa essere svolto senza necessariamente

Dettagli

La memoria - generalità

La memoria - generalità Calcolatori Elettronici La memoria gerarchica Introduzione La memoria - generalità n Funzioni: Supporto alla CPU: deve fornire dati ed istruzioni il più rapidamente possibile Archiviazione: deve consentire

Dettagli

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Rappresentazione in virgola mobile

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Rappresentazione in virgola mobile Problemi connessi all utilizzo di un numero di bit limitato Abbiamo visto quali sono i vantaggi dell utilizzo della rappresentazione in complemento alla base: corrispondenza biunivoca fra rappresentazione

Dettagli

Interfacciamento con memorie Pagina 1 di 9

Interfacciamento con memorie Pagina 1 di 9 Interfacciamento con memorie Pagina 1 di 9 Supponiamo di voler interfacciare il microprocessore con un chip di memoria RAM da 2 Kbyte in modo che le 2048 locazioni del chip occupino i primi 2048 indirizzi

Dettagli

LABORATORIO DI SISTEMI

LABORATORIO DI SISTEMI ALUNNO: Fratto Claudio CLASSE: IV B Informatico ESERCITAZIONE N : 1 LABORATORIO DI SISTEMI OGGETTO: Progettare e collaudare un circuito digitale capace di copiare le informazioni di una memoria PROM in

Dettagli

LA CORRENTE ELETTRICA

LA CORRENTE ELETTRICA L CORRENTE ELETTRIC H P h Prima che si raggiunga l equilibrio c è un intervallo di tempo dove il livello del fluido non è uguale. Il verso del movimento del fluido va dal vaso a livello maggiore () verso

Dettagli

Schema a blocchi dei convertitori DC-DC

Schema a blocchi dei convertitori DC-DC Schema a blocchi dei convertitori DC-DC Tutti i convertitori DC-DC visti possono essere schematizzati come nello schema in figura. Cioè, un convertitore DC-DC si comporta come una "scatola" che trasforma

Dettagli

Architettura del computer (C.Busso)

Architettura del computer (C.Busso) Architettura del computer (C.Busso) Il computer nacque quando fu possibile costruire circuiti abbastanza complessi in logica programmata da una parte e, dall altra, pensare, ( questo è dovuto a Von Neumann)

Dettagli

CONTROLLO IN TENSIONE DI LED

CONTROLLO IN TENSIONE DI LED Applicazioni Ver. 1.1 INTRODUZIONE CONTROLLO IN TENSIONE DI LED In questo documento vengono fornite delle informazioni circa la possibilità di pilotare diodi led tramite una sorgente in tensione. La trattazione

Dettagli

Applicazioni lineari

Applicazioni lineari Applicazioni lineari Esempi di applicazioni lineari Definizione. Se V e W sono spazi vettoriali, una applicazione lineare è una funzione f: V W tale che, per ogni v, w V e per ogni a, b R si abbia f(av

Dettagli

Sistema operativo: Gestione della memoria

Sistema operativo: Gestione della memoria Dipartimento di Elettronica ed Informazione Politecnico di Milano Informatica e CAD (c.i.) - ICA Prof. Pierluigi Plebani A.A. 2008/2009 Sistema operativo: Gestione della memoria La presente dispensa e

Dettagli

Circuiti di condizionamento per sensori resistivi

Circuiti di condizionamento per sensori resistivi Perché non è possibile utilizzare direttamente un partitore di tensione per condizionare uno strain gage? isposta: Per problemi di risoluzione: una d piccola provocherebbe una dout difficile da misurare;

Dettagli

Circuiti di Solar Energy Harvesting. Prof. Alessandro Chini alessandro.chini@unimore.it

Circuiti di Solar Energy Harvesting. Prof. Alessandro Chini alessandro.chini@unimore.it Circuiti di Solar Energy Harvesting Prof. Alessandro Chini alessandro.chini@unimore.it Wireless Sensor Network Negli ultimi anni si è rilevato un crescente interesse nello studio e nello sviluppo delle

Dettagli

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Fondamenti di calcolo booleano

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Fondamenti di calcolo booleano Breve introduzione storica Nel 1854, il prof. Boole pubblica un trattato ormai famosissimo: Le leggi del pensiero. Obiettivo finale del trattato è di far nascere la matematica dell intelletto umano, un

Dettagli

ELETTRONICA II. Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe. Politecnico di Torino

ELETTRONICA II. Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe. Politecnico di Torino ELETTRONICA II Lezioni: Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe Politecnico di Torino Lezioni Gruppo B rev 7 Elettronica II - Dante Del Corso - Gruppo

Dettagli

Il concetto di valore medio in generale

Il concetto di valore medio in generale Il concetto di valore medio in generale Nella statistica descrittiva si distinguono solitamente due tipi di medie: - le medie analitiche, che soddisfano ad una condizione di invarianza e si calcolano tenendo

Dettagli

di Heaveside: ricaviamo:. Associamo alle grandezze sinusoidali i corrispondenti fasori:, Adesso sostituiamo nella

di Heaveside: ricaviamo:. Associamo alle grandezze sinusoidali i corrispondenti fasori:, Adesso sostituiamo nella Equazione di Ohm nel dominio fasoriale: Legge di Ohm:. Dalla definizione di operatore di Heaveside: ricaviamo:. Associamo alle grandezze sinusoidali i corrispondenti fasori:, dove Adesso sostituiamo nella

Dettagli

DE e DTE: PROVA SCRITTA DEL 26 Gennaio 2015

DE e DTE: PROVA SCRITTA DEL 26 Gennaio 2015 DE e DTE: PROVA SCRITTA DEL 26 Gennaio 2015 ESERCIZIO 1 (DE,DTE) Un transistore bipolare n + pn con N Abase = N Dcollettore = 10 16 cm 3, µ n = 0.09 m 2 /Vs, µ p = 0.035 m 2 /Vs, τ n = τ p = 10 6 s, S=1

Dettagli

TRASDUTTORI di TEMPERATURA

TRASDUTTORI di TEMPERATURA TASDUTTOI di TEMPEATUA Sono dispositivi in grado di trasformare la variazione di una temperatura nella variazione di un altra grandezza fisica (tensione, corrente,ecc.) I più utilizzati sono: Termoresistenze

Dettagli

MESSA IN SCALA DI ALGORITMI DIGITALI

MESSA IN SCALA DI ALGORITMI DIGITALI Ingegneria e Tecnologie dei Sistemi di Controllo Laurea Specialistica in Ingegneria Meccatronica MESSA IN SCALA DI ALGORITMI DIGITALI Cristian Secchi Tel. 0522 522235 e-mail: secchi.cristian@unimore.it

Dettagli

Appendice Circuiti con amplificatori operazionali

Appendice Circuiti con amplificatori operazionali Appendice Circuiti con amplificatori operazionali - Appendice Circuiti con amplificatori operazionali - L amplificatore operazionale Il componente ideale L amplificatore operazionale è un dispositivo che

Dettagli

Esercizi svolti di Elettrotecnica

Esercizi svolti di Elettrotecnica Marco Gilli Dipartimento di Elettronica Politecnico di Torino Esercizi svolti di Elettrotecnica Politecnico di Torino TOINO Maggio 2003 Indice Leggi di Kirchhoff 5 2 Legge di Ohm e partitori 5 3 esistenze

Dettagli

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche 3.1 LE PORTE LOGICHE E GLI OPERATORI ELEMENTARI 3.2 COMPORTAMENTO A REGIME E IN TRANSITORIO DEI CIRCUITI COMBINATORI I nuovi

Dettagli

I.I.S. Primo Levi Badia Polesine A.S. 2012-2013

I.I.S. Primo Levi Badia Polesine A.S. 2012-2013 LGEBR DI BOOLE I.I.S. Primo Levi Badia Polesine.S. 2012-2013 Nel secolo scorso il matematico e filosofo irlandese Gorge Boole (1815-1864), allo scopo di procurarsi un simbolismo che gli consentisse di

Dettagli

Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE

Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE Andrea Bobbio Anno Accademico 2000-2001 Algebra Booleana 2 Calcolatore come rete logica Il calcolatore può essere visto come una rete logica

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte A: Transistori in commutazione Lezione n. 2 - A - 2:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte A: Transistori in commutazione Lezione n. 2 - A - 2: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte A: Transistori in commutazione Lezione n. 2 - A - 2: Transistori BJT in commutazione Elettronica II - Dante Del Corso - Gruppo A - 8 n.

Dettagli

REGOLATORE PWM. Rev.1 del 24/02/2012

REGOLATORE PWM. Rev.1 del 24/02/2012 Generalità REGOAORE PWM Rev.1 del 24/02/2012 Propongo questo semplice circuito per il controllo di un dispositivo di potenza mediante la modulazione PWM (Pulse Width Modulation) di una tensione continua.

Dettagli

SISTEMI DI NUMERAZIONE E CODICI

SISTEMI DI NUMERAZIONE E CODICI SISTEMI DI NUMERAZIONE E CODICI Il Sistema di Numerazione Decimale Il sistema decimale o sistema di numerazione a base dieci usa dieci cifre, dette cifre decimali, da O a 9. Il sistema decimale è un sistema

Dettagli

Definizione di mutua induzione

Definizione di mutua induzione Mutua induzione Definizione di mutua induzione Una induttanza produce un campo magnetico proporzionale alla corrente che vi scorre. Se le linee di forza di questo campo magnetico intersecano una seconda

Dettagli

Capitolo 2. Operazione di limite

Capitolo 2. Operazione di limite Capitolo 2 Operazione di ite In questo capitolo vogliamo occuparci dell operazione di ite, strumento indispensabile per scoprire molte proprietà delle funzioni. D ora in avanti riguarderemo i domini A

Dettagli

Circuito di pilotaggio ON OFF con operazionale

Circuito di pilotaggio ON OFF con operazionale PREMESSA Circuito di pilotaggio ON OFF con operazionale A cura del Prof. Marco Chirizzi www.marcochirizzi.it Si supponga di dovere progettare un circuito di pilotaggio ON OFF in grado di mantenere un fluido

Dettagli

CORRENTE ELETTRICA. φ 1

CORRENTE ELETTRICA. φ 1 COENTE ELETTCA lim t Q/ tdq/dt ntensità di corrente φ φ > φ φ La definizione implica la scelta di un verso positivo della corrente. Per convenzione, il verso positivo della corrente è parallelo al moto

Dettagli

STATISTICA IX lezione

STATISTICA IX lezione Anno Accademico 013-014 STATISTICA IX lezione 1 Il problema della verifica di un ipotesi statistica In termini generali, si studia la distribuzione T(X) di un opportuna grandezza X legata ai parametri

Dettagli

I componenti di un Sistema di elaborazione. Memoria centrale. È costituita da una serie di CHIP disposti su una scheda elettronica

I componenti di un Sistema di elaborazione. Memoria centrale. È costituita da una serie di CHIP disposti su una scheda elettronica I componenti di un Sistema di elaborazione. Memoria centrale Memorizza : istruzioni dati In forma BINARIA : 10001010101000110101... È costituita da una serie di CHIP disposti su una scheda elettronica

Dettagli

Elettronica dei Sistemi Digitali Algoritmi di channel routing per standard cells; verifica progettuale

Elettronica dei Sistemi Digitali Algoritmi di channel routing per standard cells; verifica progettuale Elettronica dei Sistemi Digitali Algoritmi di channel routing per standard cells; verifica progettuale Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema

Dettagli

Appunti tratti dal videocorso di Elettrotecnica 1 del prof. Graglia By ALeXio

Appunti tratti dal videocorso di Elettrotecnica 1 del prof. Graglia By ALeXio Appunti tratti dal videocorso di Elettrotecnica 1 del prof. Graglia By ALeXio Parte b Bipoli elettrici - potenza entrante Tensione e corrente su di un bipolo si possono misurare secondo la convenzione

Dettagli

MODELLO MEDIO AD AMPI SEGNALI DI UN CONVERTITORE PWM REALE

MODELLO MEDIO AD AMPI SEGNALI DI UN CONVERTITORE PWM REALE MODELLO MEDIO AD AMPI SEGNALI DI UN CONVERTITORE PWM REALE Il modello medio di un convertitore PWM è necessario per capirne il comportamento dinamico e progettare un appropriato loop di controllo. MODELLO

Dettagli

Latch pseudo-statico. Caratteristiche:

Latch pseudo-statico. Caratteristiche: Facoltà di gegneria q Caratteristiche: - circuiti più semplici rispetto a quelli di tipo statico - carica (dato) immagazzinata soggetta a leakage necessità di refresh periodico - dispositivi ad alta impedenza

Dettagli

Capitolo V : Il colore nelle immagini digitali

Capitolo V : Il colore nelle immagini digitali Capitolo V : Il colore nelle immagini digitali Lavorare con il colore nelle immagini digitali L uso dei colori nella visione computerizzata e nella computer grafica implica l incorrere in determinate problematiche

Dettagli

ALGEBRA DELLE PROPOSIZIONI

ALGEBRA DELLE PROPOSIZIONI Università di Salerno Fondamenti di Informatica Corso di Laurea Ingegneria Corso B Docente: Ing. Giovanni Secondulfo Anno Accademico 2010-2011 ALGEBRA DELLE PROPOSIZIONI Fondamenti di Informatica Algebra

Dettagli

QUANTIZZAZIONE diverse fasi del processo di conversione da analogico a digitale quantizzazione

QUANTIZZAZIONE diverse fasi del processo di conversione da analogico a digitale quantizzazione QUANTIZZAZIONE Di seguito lo schema che illustra le diverse fasi del processo di conversione da analogico a digitale. Dopo aver trattato la fase di campionamento, occupiamoci ora della quantizzazione.

Dettagli

Scelta e verifica dei motori elettrici per gli azionamenti di un mezzo di trazione leggera

Scelta e verifica dei motori elettrici per gli azionamenti di un mezzo di trazione leggera Scelta e verifica dei motori elettrici per gli azionamenti di un mezzo di trazione leggera Si consideri un convoglio ferroviario per la trazione leggera costituito da un unità di trazione, la quale è formata

Dettagli

ELETTRONICA. L amplificatore Operazionale

ELETTRONICA. L amplificatore Operazionale ELETTRONICA L amplificatore Operazionale Amplificatore operazionale Un amplificatore operazionale è un amplificatore differenziale, accoppiato in continua e ad elevato guadagno (teoricamente infinito).

Dettagli

Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013

Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013 Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013 In questo documento vengono illustrate brevemente le operazioni aritmetiche salienti e quelle logiche ad esse strettamente collegate.

Dettagli

Gas perfetti e sue variabili

Gas perfetti e sue variabili Gas perfetti e sue variabili Un gas è detto perfetto quando: 1. è lontano dal punto di condensazione, e quindi è molto rarefatto 2. su di esso non agiscono forze esterne 3. gli urti tra le molecole del

Dettagli

Introduzione alla teoria dei database relazionali. Come progettare un database

Introduzione alla teoria dei database relazionali. Come progettare un database Introduzione alla teoria dei database relazionali Come progettare un database La struttura delle relazioni Dopo la prima fase di individuazione concettuale delle entità e degli attributi è necessario passare

Dettagli

Progetto di un alimentatore con Vo = +5 V e Io = 1 A

Progetto di un alimentatore con Vo = +5 V e Io = 1 A Progetto di un alimentatore con o +5 e Io A U LM7805/TO IN OUT S F T 5 4 8 - ~ ~ + + C GND + C + C3 3 R D LED Si presuppongono noti i contenuti dei documenti Ponte di Graetz Circuito raddrizzatore duale

Dettagli

M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE. Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO

M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE. Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO Tema di: ELETTRONICA, TELECOMUNICAZIONI E APPLICAZIONI Il candidato, formulando eventuali

Dettagli

La memoria centrale (RAM)

La memoria centrale (RAM) La memoria centrale (RAM) Mantiene al proprio interno i dati e le istruzioni dei programmi in esecuzione Memoria ad accesso casuale Tecnologia elettronica: Veloce ma volatile e costosa Due eccezioni R.O.M.

Dettagli

CALCOLO ELETTRICO DELLE LINEE ELETTRICHE

CALCOLO ELETTRICO DELLE LINEE ELETTRICHE CALCOLO ELETTRICO DELLE LINEE ELETTRICHE Appunti a cura dell Ing. Stefano Usai Tutore del corso di ELETTROTECNICA per meccanici e chimici A. A. 2001/ 2002 e 2002/2003 Calcolo elettrico delle linee elettriche

Dettagli

I SISTEMI TRIFASI B B A N B B

I SISTEMI TRIFASI B B A N B B I SISTEMI TRIFSI ITRODUZIOE Un sistema polifase consiste in due o più tensioni identiche, fra le quali esiste uno sfasamento fisso, che alimentano, attraverso delle linee di collegamento, dei carichi.

Dettagli

Siamo così arrivati all aritmetica modulare, ma anche a individuare alcuni aspetti di come funziona l aritmetica del calcolatore come vedremo.

Siamo così arrivati all aritmetica modulare, ma anche a individuare alcuni aspetti di come funziona l aritmetica del calcolatore come vedremo. DALLE PESATE ALL ARITMETICA FINITA IN BASE 2 Si è trovato, partendo da un problema concreto, che con la base 2, utilizzando alcune potenze della base, operando con solo addizioni, posso ottenere tutti

Dettagli

MISURATORE DIGITALE MODELLO M890G

MISURATORE DIGITALE MODELLO M890G MISURATORE DIGITALE MODELLO M890G MANUALE D ISTRUZIONE I II INTRODUZIONE Il misuratore digitale M890G può essere usato per misurare tensioni e corrente DC, tensioni e corrente AC, resistenza, capacità,

Dettagli

4. Operazioni elementari per righe e colonne

4. Operazioni elementari per righe e colonne 4. Operazioni elementari per righe e colonne Sia K un campo, e sia A una matrice m n a elementi in K. Una operazione elementare per righe sulla matrice A è una operazione di uno dei seguenti tre tipi:

Dettagli

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it Lezione 2 Circuiti logici Mauro Piccolo piccolo@di.unito.it Bit e configurazioni di bit Bit: una cifra binaria (binary digit) 0 oppure 1 Sequenze di bit per rappresentare l'informazione Numeri Caratteri

Dettagli

Statistical Process Control

Statistical Process Control Statistical Process Control ESERCIZI Esercizio 1. Per la caratteristica di un processo distribuita gaussianamente sono note media e deviazione standard: µ = 100, σ = 0.2. 1a. Calcolare la linea centrale

Dettagli

ENERGIA. Energia e Lavoro Potenza Energia cinetica Energia potenziale Principio di conservazione dell energia meccanica

ENERGIA. Energia e Lavoro Potenza Energia cinetica Energia potenziale Principio di conservazione dell energia meccanica 1 ENERGIA Energia e Lavoro Potenza Energia cinetica Energia potenziale Principio di conservazione dell energia meccanica 2 Energia L energia è ciò che ci permette all uomo di compiere uno sforzo o meglio

Dettagli

La Videosorveglianza Criteri per il dimensionamento dello storage

La Videosorveglianza Criteri per il dimensionamento dello storage La Videosorveglianza Criteri per il dimensionamento dello storage Serie vol 1005/2010 L importanza di registrare le immagini video Il valore di un sistema di videosorveglianza non dipende solo dall abilità

Dettagli

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0 Rappresentazione dei numeri I numeri che siamo abituati ad utilizzare sono espressi utilizzando il sistema di numerazione decimale, che si chiama così perché utilizza 0 cifre (0,,2,3,4,5,6,7,8,9). Si dice

Dettagli

Statistical Process Control

Statistical Process Control Statistical Process Control ESERCIZI Esercizio 1. Per la caratteristica di un processo distribuita gaussianamente sono note media e deviazione standard: µ = 100, σ = 0.2. 1a. Calcolare la linea centrale

Dettagli

Soluzione del prof. Paolo Guidi

Soluzione del prof. Paolo Guidi Soluzione del prof. Paolo Guidi Lo schema elettrico del sistema formato dalla dinamo e dal motore asincrono trifase viene proposto in Fig. 1; Il motore asincrono trifase preleva la tensione di alimentazione

Dettagli

Quando troncare uno sviluppo in serie di Taylor

Quando troncare uno sviluppo in serie di Taylor Quando troncare uno sviluppo in serie di Taylor Marco Robutti October 13, 2014 Lo sviluppo in serie di Taylor di una funzione è uno strumento matematico davvero molto utile, e viene spesso utilizzato in

Dettagli

Valore equo di un derivato. Contingent claim

Valore equo di un derivato. Contingent claim Contingent claim Ci occuperemo ora di determinare il prezzo equo di un prodotto derivato, come le opzioni, e di come coprire il rischio associato a questi contratti. Assumeremo come dinamica dei prezzi

Dettagli

ESERCIZI DI MATEMATICA FINANZIARIA DIPARTIMENTO DI ECONOMIA E MANAGEMENT UNIFE A.A. 2015/2016. 1. Esercizi: lezione 24/11/2015

ESERCIZI DI MATEMATICA FINANZIARIA DIPARTIMENTO DI ECONOMIA E MANAGEMENT UNIFE A.A. 2015/2016. 1. Esercizi: lezione 24/11/2015 ESERCIZI DI MATEMATICA FINANZIARIA DIPARTIMENTO DI ECONOMIA E MANAGEMENT UNIFE A.A. 2015/2016 1. Esercizi: lezione 24/11/2015 Valutazioni di operazioni finanziarie Esercizio 1. Un operazione finanziaria

Dettagli

Generatore di forza elettromotrice f.e.m.

Generatore di forza elettromotrice f.e.m. Generatore di forza elettromotrice f.e.m. Un dispositivo che mantiene una differenza di potenziale tra una coppia di terminali batterie generatori elettrici celle solari termopile celle a combustibile

Dettagli

p atm 1. V B ; 2. T B ; 3. W A B 4. il calore specifico a volume costante c V

p atm 1. V B ; 2. T B ; 3. W A B 4. il calore specifico a volume costante c V 1 Esercizio (tratto dal Problema 13.4 del Mazzoldi 2) Un gas ideale compie un espansione adiabatica contro la pressione atmosferica, dallo stato A di coordinate, T A, p A (tutte note, con p A > ) allo

Dettagli

TX Figura 1: collegamento tra due antenne nello spazio libero.

TX Figura 1: collegamento tra due antenne nello spazio libero. Collegamenti Supponiamo di avere due antenne, una trasmittente X e una ricevente X e consideriamo il collegamento tra queste due antenne distanti X X Figura : collegamento tra due antenne nello spazio

Dettagli

VINCERE AL BLACKJACK

VINCERE AL BLACKJACK VINCERE AL BLACKJACK Il BlackJack è un gioco di abilità e fortuna in cui il banco non può nulla, deve seguire incondizionatamente le regole del gioco. Il giocatore è invece posto continuamente di fronte

Dettagli

Forze come grandezze vettoriali

Forze come grandezze vettoriali Forze come grandezze vettoriali L. Paolucci 23 novembre 2010 Sommario Esercizi e problemi risolti. Per la classe prima. Anno Scolastico 2010/11 Parte 1 / versione 2 Si ricordi che la risultante di due

Dettagli