orologio e display cont 16

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "orologio e display cont 16"

Transcript

1 Tocci giovanni orologio e display obiettivo: costruzione di un orologio che sappia tenere in conto minuti e secondi. specifiche di progetto: Il nostro orologio, sarà costituito da : divisore di frequenza. contatore modulo 60. contatore modulo 24. contatore modulo 10. contatore modulo 16. flip flop JK. display 7 segmenti. Per creare i nostri contatori siamo partiti da un elemento di memoria fondamentale il flip-flop. Partendo da questo componente possiamo ricavarci il contatore 16 poi il contatore 10, contatore 100, contatore 60 e contatore 24. FLIP-FLOP la nostra entity sarà composta da : clear, clock, preset : IN std_logic; j,k : IN std_logic; q,qn : OUT std_logic); Questo primo componente sarà descritto con un process. Esso riuscirà ad ottenere 4 differenti uscite. Una di memoria, due che permotto il cambio di stato ed infine la j<='1', k<='1' che compongono la memoria negata. Dopo aver descritto il funzionamento del flip-flop, possiamo passare alla simulazione Test banch, dove assegneremo il valore al clear e al preset. cont 16 Il modulo 16 è un contatore formato da 4 flip-flop in cascata. Questo componentne è asincrono perchè il clock entra solo nel primo flip-flop, facendolo propagare sulle uscite. la nostra entity sarà composta da :

2 clear, clock : IN std_logic; q : OUT std_logic_vector); Mentre per quanto riguarda l' architecture, abbiamo usato la strutturale. TB : Come si può vedere dalla cattura del test-bench, riesce a contare fino a sedici e poi torna a 0 per ricominciare. cont10 Il modulo 10 è formato da un contatore modulo 16. Per ottenere un conteggio minore di 16, doppiamo fermare il contatore a 10, portando le due uscite, q(1) e q(3), ( 1010 =10) in una nand e il segnale uscente collegato a una and con il clear. In questo modo il nostro contatore potrà essere azzerato e farlo ripartire da 0. la nostra entity sarà composta da : clear, clock : IN std_logic; q : OUT std_logic_vector); Questo modulo, sarà descritto con la strutturale e sarà usato per formare il cont 60 e cont 24.

3 TB : Alla fine grazie al test bench, mettendo il clear a '1', possiamo vedere come riesca a contare da 0 a 9, per poi riazzerarsi e rincominciare Timer questo cmponente sarà composto da un top file con all' interno due contatori: cont24 cont60. entity orologio_display is unita_min : out STD_LOGIC_VECTOR (3 downto 0); decine_min : out STD_LOGIC_VECTOR (3 downto 0); unita_ore : out STD_LOGIC_VECTOR (3 downto 0); decine_ore : out STD_LOGIC_VECTOR (3 downto 0)); end orologio_display; le uscite saranno 4, ogni una vettoriale, appunto per differenziare le decine e le unità dei minuti e dei secondi. Come si può vedere dall' illustrazione, abbiamo le uscite dei rispettivi contatori(7-0), che si vanno a suddividere in per poi entrare a loro volta suddivisi in vettori più piccoli (3-0). cont60 formato da due cont10, esso deve portare fuori i segnali dei minuti. entity contatore60 is q : out STD_LOGIC_VECTOR (7 downto 0)) end contatore60;

4 TB : Alla fine grazie al test bench, mettendo il clear a '1', possiamo vedere come riesca a contare da 0 a 59, per poi riazzerarsi e rincominciare. Cont 24 formato da un cont60, esso deve portare fuori i segnali dei secondi entity contatore24 is q : out STD_LOGIC_VECTOR (7 downto 0)); end contatore24; TB : Alla fine grazie al test bench, mettendo il clear a '1', possiamo vedere come riesca a contare da 0 a 23, per poi riazzerarsi e rincominciare display Il display, serve per visualizzare il tempo che scorre. È un 7 segmenti quindi visualizzeremo i secondi e i minuti sopra i display secondo il seguente schema :

5 Avrà entrate vettoriali per le unità e decine dei secondi e dei minuti, e come uscita, appunto un vettore che si collega ai segmenti del display. entity display is Port ( clk : in STD_LOGIC; en : in STD_LOGIC; D0 : in STD_LOGIC_VECTOR (3 downto 0); D1 : in STD_LOGIC_VECTOR (3 downto 0); D2 : in STD_LOGIC_VECTOR (3 downto 0); D3 : in STD_LOGIC_VECTOR (3 downto 0); AN : out STD_LOGIC_VECTOR (3 downto 0); seg : out STD_LOGIC_VECTOR (0 to 6)); end display; divisore100 il divisore100, è necessario per diminuire la frequenza del clock. La necessità di un divisore di frequenza si ha sia perché con uno stesso segnale di clock si devono pilotare circuiti a frequenza diversa top module la entity del file top model è : entity timer_display is enable : in STD_LOGIC; an : out STD_LOGIC_VECTOR (3 downto 0); seg : out STD_LOGIC_VECTOR (0 to 6)); end timer_display;

6 Sarà descritto con la forma struttutrale, e sarà composto da : un divisore100: component divisore100 is fc : out STD_LOGIC); end component; dal cont60 + cont24 : component orologio_display is unita_min : out STD_LOGIC_VECTOR (3 downto 0); decine_min : out STD_LOGIC_VECTOR (3 downto 0); unita_ore : out STD_LOGIC_VECTOR (3 downto 0); decine_ore : out STD_LOGIC_VECTOR (3 downto 0)); end component; dal display : component display is Port ( clk : in STD_LOGIC; en : in STD_LOGIC; D0 : in STD_LOGIC_VECTOR (3 downto 0); D1 : in STD_LOGIC_VECTOR (3 downto 0); D2 : in STD_LOGIC_VECTOR (3 downto 0); D3 : in STD_LOGIC_VECTOR (3 downto 0); AN : out STD_LOGIC_VECTOR (3 downto 0); seg : out STD_LOGIC_VECTOR (0 to 6)); end component; Quindi ripartendo dall' inizio, abbiamo un divisore di frequenza per ridurre il clock, due cont rispettivamente suddivisi con uscite vettoriali ed un file display. Mentre per quanto riguarda l' architecture del top file, e quindi il port map, ho collegato i vari segnali alle uscite fino ad arrivare al display il quale con le uscite per il 7 segmenti, andrannop ad azionare il display raffigurando il timer funzionante. begin divisore100_1: divisore100 port map (clock => clock, cl => cl, fc => fc1); orologio_display1 : orologio_display port map ( clock => fc1, cl => cl, decine_min => s,decine_ore => d,unita_min => a,unita_ore=>f); display_1 : display port map ( D0 => s, D1 => a, D2 => d, D3 => f, en => enable, clk => clock, seg => seg, AN=> an); end Behavioral;

7 file UCF: SIMULAZIONE Per la simulazione, ho implementato il tutto, visualizzando sul display il risultato richiesto, con appunto la visione del tempo che scorre. Nella immaggine di sinistra solo i secondi, mentre a destra sono presenti i minuti.

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

DESCRIZIONE DEL FUNZIONAMENTO

DESCRIZIONE DEL FUNZIONAMENTO I FLIP FLOP 1.1. Flip Flop Set Reset In figura è rappresentato un f/f set reset con porte NAND. Si tratta del blocco fondamentale alla base di tutti i tipi di F/F. Tabella di verità del Flip Flop Set Reset

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Circuiti sequenziali. Circuiti sequenziali e applicazioni

Circuiti sequenziali. Circuiti sequenziali e applicazioni Circuiti sequenziali Circuiti sequenziali e applicazioni Circuiti sequenziali Prima di poter parlare delle memorie è utile dare un accenno ai circuiti sequenziali. Per circuiti sequenziali intendiamo tutti

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

CONTATORI ASINCRONI. Fig. 1

CONTATORI ASINCRONI. Fig. 1 CONTATORI ASINCRONI Consideriamo di utilizzare tre Flip Flop J K secondo lo schema seguente: VCC Fig. 1 Notiamo subito che tuttigli ingressi J K sono collegati alle Vcc cioe allo stato logico 1, questo

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

2 storage mechanisms positive feedback charge-based

2 storage mechanisms positive feedback charge-based Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based Positive Feedback: Bi-Stability V i V o = V i 2 V o2 V o2

Dettagli

Interfaccia DAC per generatore di funzioni basato su fpga

Interfaccia DAC per generatore di funzioni basato su fpga Gruppo:Andrea Denti e Francesco Dodi Titolo: Interfaccia DAC per generatore di funzioni basato su fpga Data di consegna: 31 Maggio 2007 Sommario: 1. Introduzione 2. Descrizione del Progetto 3. Simulazioni

Dettagli

Registri. Registri semplici

Registri. Registri semplici Registri Registri semplici........................................ 795 Registri a scorrimento................................... 797 Contatori asincroni con flip-flop T........................798 Contatori

Dettagli

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro TUTORIAL 3 Realizzazione di un contatore su scheda XSA50 A cura di De Pin Alessandro 1 Problema proposto In questo tutorial ci si propone di realizzare un contatore che, associato ad un display a sette

Dettagli

I CONTATORI. Definizioni

I CONTATORI. Definizioni I CONTATORI Definizioni. I contatori sono dispositivi costituiti da uno o più flip-flop collegati fra loro in modo da effettuare il conteggio di impulsi applicati in ingresso. In pratica, i flip-flop,

Dettagli

PSPICE Circuiti sequenziali principali

PSPICE Circuiti sequenziali principali PSPICE Circuiti sequenziali principali Davide Piccolo Riccardo de Asmundis Elaboratori 1 Circuiti Sequenziali Tutti i circuiti visti fino ad ora erano circuiti combinatori, ossia circuiti in cui lo stato

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

POLITECNICO DI BARI! DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE!

POLITECNICO DI BARI! DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE! POLITECNICO DI BARI DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE Ingegneria Informatica e dell Automazione FONDAMENTI DI ELETTRONICA - SECONDA PROVA DI LABORATORIO Prof. Daniela De Venuto Strumentazione

Dettagli

Ottava esercitazione. Soluzione prova d esame 14 Settembre a.a

Ottava esercitazione. Soluzione prova d esame 14 Settembre a.a 1 Ottava esercitazione Soluzione prova d esame 14 Settembre 2010 Un sistema a FPGA, funzionante a 1 KHz, è utilizzato per controllare il sistema frenante di una automobile dotata di ABS (Anti Block System)

Dettagli

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

Flip-flop e loro applicazioni

Flip-flop e loro applicazioni Flip-flop e loro applicazioni Reti sequenziali elementari (6) L'elemento bistabile Latch o flip-flop trasparenti Temporizzazione dei flip-flop trasparenti Architettura master-slave Flip-flop non trasparenti

Dettagli

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state.

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state. Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based ES-TLC 5/6 - F. ella Corte V o i i 2 2 5 5 V V o o V V 5 V

Dettagli

(competenze digitali) CIRCUITI SEQUENZIALI

(competenze digitali) CIRCUITI SEQUENZIALI LICEO Scientifico LICEO Scientifico Tecnologico LICEO delle Scienze Umane ITIS (Meccanica, Meccatronica e Energia- Elettronica ed Elettrotecnica Informatica e Telecomunicazioni) ITIS Serale (Meccanica,

Dettagli

Anno scolastico Supervisore Prof. Giancarlo Fionda Insegnante di Elettronica

Anno scolastico Supervisore Prof. Giancarlo Fionda Insegnante di Elettronica A cura dell alunna Martina Covelli della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda

Dettagli

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore)

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore) Riepilogo su FSM Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara FSM: i) insieme finito di simboli di ingresso; ii) insieme finito di simboli di uscita; iii) un

Dettagli

PROGRAMMAZIONE MODULARE

PROGRAMMAZIONE MODULARE PROGRAMMAZIONE MODULARE ANNO SCOLASTICO 2013-2014 Indirizzo: ELETTROTECNICA - SIRIO Disciplina: ELETTRONICA Classe: 3^ Sezione: AES Numero di ore settimanali: 2 ore di teoria + 2 ore di laboratorio Modulo

Dettagli

Descrizioni VHDL Behavioral

Descrizioni VHDL Behavioral 1 Descrizioni VHDL Behavioral In questo capitolo vedremo come la struttura di un sistema digitale è descritto in VHDL utilizzando descrizioni di tipo comportamentale. Outline: process wait statements,

Dettagli

Calcolatori Elettronici M Modulo 2. 04 - Mapping di un progetto VHDL su FPGA

Calcolatori Elettronici M Modulo 2. 04 - Mapping di un progetto VHDL su FPGA Calcolatori Elettronici M Modulo 2 04 - Mapping di un progetto VHDL su FPGA In collaborazione con: Davide Nanni*, Andrea Bucaletti e Domenico Di Carlo 1 L obiettivo di questa lezione è mostrare come sia

Dettagli

Registri. «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net

Registri. «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net Registri Registri semplici....................................... 1823 Registri a scorrimento..................................

Dettagli

Programmazione modulare a.s.2015-2016

Programmazione modulare a.s.2015-2016 Programmazione modulare a.s.015-016 Indirizzo:Informatica \Disciplina: Telecomunicazioni Prof. MAIO Patrizia Rosi Filippo Classe:3 A 3 B Informatica ore settimanali 3 di cui di laboratorio) Libro di testo:telecomunicazioni

Dettagli

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max

Dettagli

Elettronica delle TLC II Esercitazione I

Elettronica delle TLC II Esercitazione I Elettronica delle TLC II Esercitazione I 1. Obbiettivo esercitazione: L esercitazione si pone l obbiettivo di realizzare un voltmetro a due cifre decimali utilizzando la FPGA messa a disposizione dalla

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

Verifica di Sistemi. 2. Il latch SR a porte NOR non accetta la condizione: a. S=0, R=0 b. S=1, R=1 c. S=0, R=1 d. S=1, R=0

Verifica di Sistemi. 2. Il latch SR a porte NOR non accetta la condizione: a. S=0, R=0 b. S=1, R=1 c. S=0, R=1 d. S=1, R=0 Verifica di Sistemi 1.Qual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano direttamente

Dettagli

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per:

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: INTRODUZIONE AI CONTATORI Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: o Conteggio di eventi o Divisione di frequenza o Temporizzazioni Principi

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Nonostante l avvento delle più moderne

Nonostante l avvento delle più moderne di terminati ad entrambe le estremità con un impedenza di questo valore. Come già detto se si vuole ottenere la massima luminosità di uno dei segnali di colore occorre fornire circa 0.7V. Per fare questo

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali - I circuiti sequenziali sono caratterizzati dal fatto che, in un dato istante tn+1 le uscite dipendono dai livelli logici di ingresso nell'istante tn+1 ma anche dagli stati assunti

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Esercitazione 2 I Flip Flop 1. ual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano

Dettagli

Topward electronics TPS ALTERA Max EPM7128SLC84-15

Topward electronics TPS ALTERA Max EPM7128SLC84-15 Esercitazione : CONVERTITORE A/D Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max EPM7128SLC84-15 Olympus

Dettagli

Complementi ed Esercizi di Reti Logiche in VHDL

Complementi ed Esercizi di Reti Logiche in VHDL Calcolatori Elettronici M Complementi ed Esercizi di Reti Logiche in VHDL in collaborazione con Francesco Maria Sprotetto 1 Premessa In questo progetto sono stati implementati gli esercizi descritti in

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

Ecco i miei appunti di Elettronica 2 (li potete usare dopo aver studiato per ripassare) (26/04/ 09)

Ecco i miei appunti di Elettronica 2 (li potete usare dopo aver studiato per ripassare) (26/04/ 09) Ecco i miei appunti di Elettronica 2 (li potete usare dopo aver studiato per ripassare) (26/04/ 09) ALEE STATICHE: di tipo 0 se ci sono degli 1 adiacenti non raggruppati di tipo 1 se ci sono degli 0 adiacenti

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Flip flop: tempificazione latch ed edge-triggered

Flip flop: tempificazione latch ed edge-triggered Corso di Calcolatori Elettronici I A.A. 2010-2011 Flip flop: tempificazione latch ed edge-triggered Lezione 23-26 Università degli Studi di Napoli Federico II Facoltà di Ingegneria I flip flop - 1 Generalità

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Parametri statici e dinamici Circuiti logici combinatori Circuiti logici sequenziali Esempi e misure su circuiti digitali Esempi ed esercizi

Dettagli

Facoltà di Ingegneria Corso di Laurea in Ingegneria delle Telecomunicazioni. Relazione Progetto del Corso di Elettronica Dei Sistemi Digitali

Facoltà di Ingegneria Corso di Laurea in Ingegneria delle Telecomunicazioni. Relazione Progetto del Corso di Elettronica Dei Sistemi Digitali Facoltà di Ingegneria Corso di Laurea in Ingegneria delle Telecomunicazioni Relazione Progetto del Corso di Elettronica Dei Sistemi Digitali Decodificatore Morse Professore: Prof. R. Passerone. Studenti:

Dettagli

Misure di frequenza e di tempo

Misure di frequenza e di tempo Misure basate sul conteggio di impulsi Misure di frequenza e di tempo - 1 Misure di frequenza e di tempo 1 - Contatori universali Schemi e circuiti di riferimento Per la misura di frequenza e di intervalli

Dettagli

Permutazioni e Gioco del 15. Dott.ssa Giovanna Rosone

Permutazioni e Gioco del 15. Dott.ssa Giovanna Rosone Permutazioni e Gioco del 15 Dott.ssa Giovanna Rosone Permutazione Una permutazione è un modo di combinare n oggetti distinti scambiandoli di posizione Ci sono essenzialmente due notazioni per scrivere

Dettagli

I bistabili ed il register file

I bistabili ed il register file I bistabili ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano 1/32 Sommario I problemi dei latch trasparenti sincroni

Dettagli

ARCHITETTURA DEI SISTEMI DI ELABORAZIONE

ARCHITETTURA DEI SISTEMI DI ELABORAZIONE ARCHITETTURA DEI SISTEMI DI ELABORAZIONE Progetto di una ALU a 16 bit Sommario Progetto di una ALU a 16 bit... 1 Introduzione... 2 Specifiche... 3 Implementazione... 3 Button Manager... 7 Terminale...

Dettagli

Misure di frequenza e di tempo

Misure di frequenza e di tempo Misure basate sul conteggio di impulsi Misure di frequenza e di tempo - 1 Misure di frequenza e di tempo 1 - Contatori universali Schemi e circuiti di riferimento Per la misura di frequenza e di intervalli

Dettagli

LUCCHETTO DIGITALE A COMBINAZIONE

LUCCHETTO DIGITALE A COMBINAZIONE CORSO I LURE IN INGEGNERI INFORMTIC TELEITTICO RELZIONE I ELETTRONIC PROGETTO I ESME LUCCHETTO IGITLE COMBINZIONE Studente: gostino Scardino Introduzione Il progetto riguarda un dispositivo digitale a

Dettagli

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package VHDL Testbenches La libreria IEEE La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench La libreria IEEE La libreria standard IEEE è composta 6 package std_logic_1164 std_logic_arith

Dettagli

PIANO DI LAVORO DEI DOCENTI

PIANO DI LAVORO DEI DOCENTI Pag. 1 di 5 Docente: Materia insegnamento: ELETTRONICA GENERALE Dipartimento: Anno scolastico: ELETTRONICA ETR Classe 1 Livello di partenza (test di ingresso, livelli rilevati) Il corso richiede conoscenze

Dettagli

Classe III specializzazione elettronica. Elettrotecnica e elettronica

Classe III specializzazione elettronica. Elettrotecnica e elettronica Classe III specializzazione elettronica Elettrotecnica e elettronica Macro unità n 1 Sistema binario e porte logiche Sistema di numerazione binario: conversioni binario-decimale e decimale-binario Porte

Dettagli

Addizione tra numeri binari

Addizione tra numeri binari Addizione tra numeri binari A=a n-1 a n-2...a i...a 0 B=b n-1 b n-2...b i...b 0 s i =a i b i c in c out =a i b i + a i c in + b i c in a i b i FA c out c in S=s n s n-1 s n-2...s i...s 0 s i a n 1 b n

Dettagli

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli

Tecnologie e Progettazione dei sistemi Informatici e di Telecomunicazioni Scheda Recupero Estivo Obiettivo

Tecnologie e Progettazione dei sistemi Informatici e di Telecomunicazioni Scheda Recupero Estivo Obiettivo Tecnologie e Progettazione dei sistemi Informatici e di Telecomunicazioni Scheda Recupero Estivo Classe IIIG Il recupero estivo nella materia sarà valutato con un test scritto, durante i giorni dedicati

Dettagli

LATCH E FLIP-FLOP PREMESSA

LATCH E FLIP-FLOP PREMESSA LATCH E FLIP-FLOP PREMESSA I latch e i flip flop sono circuiti digitali sequenziali che hanno il compito di memorizzare un bit. Un circuito digitale si dice sequenziale se l'uscita dipende dagli ingressi

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

Logica sequenziale. I dispositivi logici si suddividono in due famiglie principali:

Logica sequenziale. I dispositivi logici si suddividono in due famiglie principali: Logica sequenziale I dispositivi logici si suddividono in due famiglie principali: Logica combinatoriale L uscita all istante tn dipende unicamente dallo stato degli ingressi sempre al tempo t n ( interni

Dettagli

LATCH E FLIP-FLOP PREMESSA

LATCH E FLIP-FLOP PREMESSA LATCH E FLIP-FLOP PREMESSA I latch e i flip flop sono circuiti digitali sequenziali che hanno il compito di memorizzare un bit. Un circuito digitale si dice sequenziale se l'uscita dipende dagli ingressi

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

VERIFICA DEL FUNZIONAMENTO DI CONTATORI ASINCRONI E VISUALIZZAZIONE DEL CONTEGGIO SU VISUALIZZATORE A LED A 7 SEGMENTI

VERIFICA DEL FUNZIONAMENTO DI CONTATORI ASINCRONI E VISUALIZZAZIONE DEL CONTEGGIO SU VISUALIZZATORE A LED A 7 SEGMENTI A cura dell alunna Martina Covelli della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda

Dettagli

Infine si realizzi uno schematico che colleghi vari oggetti.

Infine si realizzi uno schematico che colleghi vari oggetti. Esercitazione n 3 Lo scopo di questa esercitazione è di introdurre alla creazione di un disegno di progetto di tipo gerarchico, nel quale cioè si creino vari elementi per comporre via via oggetti di complessità

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la 1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la documentazione, la simulazione e la sintesi di sistemi digitali.

Dettagli

Circuiti sequenziali e elementi di memoria

Circuiti sequenziali e elementi di memoria Il Livello Logicoigitale I circuiti sequenziali Corso ACSO prof. Cristina SILVANO Politecnico di Milano Sommario Circuiti sequenziali e elementi di memoria Bistabile SR asincrono Temporizzazione e clock

Dettagli

A.C. Neve Esercizi Digitali 1

A.C. Neve Esercizi Digitali 1 Esercizi di Elettronica Digitale.. Neve Esercizi Digitali 1 Porte logiche Elementari ND OR NND NOR EXOR EXNOR 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 1 0 0 0 1 * Reti logiche con interruttori

Dettagli

Università degli Studi di Padova. Corso di Laurea in Ingegneria Elettronica

Università degli Studi di Padova. Corso di Laurea in Ingegneria Elettronica Università degli Studi di Padova Corso di Laurea in Ingegneria Elettronica Sviluppo di un sistema anti intrusione su scheda FPGA Laureando: Loris Pretto Relatore: Prof. Daniele Vogrig Sommario Scopo della

Dettagli

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C#.

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C#. UNIVERSITA' DEGLI STUDI DI BOLOGNA FACOLTA' DI INGEGNERIA Corso di Laurea Magistrale in Ingegneria Informatica Calcolatori Elettronici M Prof. Giovanni Neri, Prof. Stefano Mattoccia Progetto di Calcolatori

Dettagli

Reti Logiche A. Introduzione al VHDL

Reti Logiche A. Introduzione al VHDL Reti Logiche Introduzione al VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it 1 Sommario Introduzione Struttura di un modello

Dettagli

Circuiti sincroni Circuiti sequenziali: i bistabili

Circuiti sincroni Circuiti sequenziali: i bistabili Architettura degli Elaboratori e delle Reti Lezione 8 Circuiti sincroni Circuiti sequenziali: i bistabili Proff. A. Borghese, F. Pedersini ipartimento di Scienze dell Informazione Università degli Studi

Dettagli

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena Fabio Campi Aa 2003-2004 Elettronica dei Sistemi Digitali Fabio Campi, fcampi@deis.unibo.it (con parsimonia ) 051/2093834 http://www.micro.deis.unibo.it/~campi/esd_2004

Dettagli

Introduzione al VHDL per logiche programmabili

Introduzione al VHDL per logiche programmabili 1 Introduzione al VHDL per logiche programmabili Programma del corso 1) Introduzione al VHDL! Perché utilizzare il VHDL 2) PAL, CPLD e FPGA! Descrizione di una PAL! Descrizione di una CPLD! Descrizione

Dettagli

Úimparare & approfondiredi FRANCESCO PENTELLA

Úimparare & approfondiredi FRANCESCO PENTELLA imparare & Úimparare & approfondiredi FRANCESCO PENTELLA Lezioni di VHDL (parte settima) SILICORE SLC1657 Nella settima parte di questo corso proponiamo un esempio più complesso, ma allo stesso tempo interessante:

Dettagli

FONDAMENTI DI LOGICA DIGITALE 1 DL 3155E20 LOGICA. Blocchi funzionali. Argomenti teorici

FONDAMENTI DI LOGICA DIGITALE 1 DL 3155E20 LOGICA. Blocchi funzionali. Argomenti teorici L1 LOGICA FONDAMENTI DI LOGICA DIGITALE 1 Concetti di logica: teoremi fondamentali dell'algebra booleana Sistema binario Funzioni logiche Descrizione algebrica delle reti logiche e le tavole della verità

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici UNIVERSITÀ DEGLI STUDI DI UDINE Facoltà di Ingegneria Corso di Laurea Specialistica in Ingegneria Gestionale dell Informazione Dipartimento di Ingegneria Elettrica, Gestionale e Meccanica Calcolatori Elettronici

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

Misure di frequenza e di tempo

Misure di frequenza e di tempo Misure di frequenza e di tempo - 1 Misure di frequenza e di tempo 1 - Contatori universali Schemi e circuiti di riferimento Per la misura di frequenza e di intervalli di tempo vengono diffusamente impiegati

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli

Calcolatori Elettronici Reti Sequenziali Asincrone

Calcolatori Elettronici Reti Sequenziali Asincrone Calcolatori Elettronici eti equenziali Asincrone Ing. dell Automazione A.A. 2/2 Gabriele Cecchetti eti equenziali Asincrone ommario: Circuito sequenziale e bistabile Definizione di rete sequenziale asincrona

Dettagli

Reti Sequenziali. Reti Sequenziali. Corso di Architetture degli Elaboratori

Reti Sequenziali. Reti Sequenziali. Corso di Architetture degli Elaboratori Reti Sequenziali Reti Sequenziali Corso di Architetture degli Elaboratori Caratteristiche 1 Caratteristiche delle reti sequenziali Reti combinatorie: il valore in uscita è funzione (con il ritardo indotto

Dettagli

Misuratore di frequenza

Misuratore di frequenza UNIVERSITÀ DEGLI STUDI DI PADOVA Misuratore di frequenza Tesi di laurea triennale in ingegneria dell Informazione Antonio Rizzo Matricola: 610143 28/09/2012 Relatore: Daniele Vogrig Realizzazione di un

Dettagli

Metronomo. Realizzato da Andrea Corda

Metronomo. Realizzato da Andrea Corda 1 Metronomo Realizzato da Andrea Corda Progetto Il dispositivo realizzato si chiama metronomo e permette di misurare il tempo e fare la scansione ritmica di un brano musicale. Viene utilizzato dai musicisti

Dettagli

CONTATORE/TIMER PROGRAMMABILE CTC Z80 1. Piedinatura 2. Struttura interna 4. Modo timer 5. Modo Counter 8. Programmazione del CTC 13

CONTATORE/TIMER PROGRAMMABILE CTC Z80 1. Piedinatura 2. Struttura interna 4. Modo timer 5. Modo Counter 8. Programmazione del CTC 13 CONTATORE/TIMER PROGRAMMABILE CTC Z80 1 Piedinatura 2 Struttura interna 4 Modo timer 5 Modo Counter 8 Programmazione del CTC 13 Gerarchia di priorità delle interruzioni 17 Interfacciamento 17 Contatore/Timer

Dettagli

Microprocessore a 16 bit in tecnologia CMOS 45nm

Microprocessore a 16 bit in tecnologia CMOS 45nm Descrizione Microprocessore a 16 bit in tecnologia CMOS 45nm Realizzare interamente un semplice microprocessore Pico a 16 bit, partendo dalla unità logico-aritmetica (ALU) fino ad arrivare al microcontrollore.

Dettagli

Generatore di numeri casuali

Generatore di numeri casuali Generatore di numeri casuali Descrizione del problema Definizione delle specifiche di progetto Il circuito che vogliamo progettare è un generatore di numeri casuali da a 90. Questo circuito può avere delle

Dettagli

Run MT100 PROFESSIONAL STOPWATCH

Run MT100 PROFESSIONAL STOPWATCH Run MT100 PROFESSIONAL STOPWATCH INDICE INTRODUZIONE 3 FUNZIONI 4 MODALITÀ OROLOGIO 6 MODALITÀ CRONOMETRO 8 MODALITÀ DATI CRONOMETRICI 10 MODALITÀ ALLARME 12 MODALITÀ CADENZIOMETRO 13 SOSTITUZIONE DELLA

Dettagli

Simulazione. Simulazione verilog. Testbench. Testbench

Simulazione. Simulazione verilog. Testbench. Testbench Simulazione Simulazione verilog Lucidi del Corso di Elettronica Digitale Modulo 8 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Il verilog

Dettagli

Contatori e registri a scorrimento

Contatori e registri a scorrimento 464 F3 Contatori e registri a scorrimento Nell ambito dei sistemi sequenziali si distinguono due famiglie, quella dei circuiti sequenziali sincroni, caratterizzati da un segnale periodico di clo che li

Dettagli

FUNZIONI INDICE. Cadenziometro (Pacer) Impostabile da 10 a 240 segnali acustici al minuto.

FUNZIONI INDICE. Cadenziometro (Pacer) Impostabile da 10 a 240 segnali acustici al minuto. 1 INDICE Grazie per aver scelto questo cronometro professionale Motus con 100 memorie e funzione di misurazione dei tempi frazionari. Per ottenere il massimo dal vostro strumento leggete attentamente questo

Dettagli

Elementi di Elettronica Digitale

Elementi di Elettronica Digitale Premessa Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei circuiti logici

Dettagli

Grazie per aver acquistato un cronometro a intervalli GYMBOSS minimax.

Grazie per aver acquistato un cronometro a intervalli GYMBOSS minimax. www.gymboss.com Grazie per aver acquistato un cronometro a intervalli GYMBOSS minimax. *** Rimuovere la pellicola protettiva dal lato anteriore del cronometro. Accensione Premere qualsiasi pulsante. Spegnimento

Dettagli

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli Regolamentazioni AAMS Descrizione del progetto GAMEGAME RANDOM RANDOM 1-2-31 GAME GAME 2 FINALFINAL

Dettagli

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management Descrizione di Macchine a Stati finiti in VHDL Descrizioni di Macchine a Stati finiti in VHDL In questa lezione vedremo come un sistema digitale sequenziale può essere descritto in VHDL. Outline: Macchine

Dettagli

Chapter 1. Circuiti sequenziali: macchine a stati

Chapter 1. Circuiti sequenziali: macchine a stati Chapter 1 Circuiti sequenziali: macchine a stati Nella prima parte del corso ci siamo occupati dei circuiti combinatori. In un circuito combinatorio con un ouput Z funzione degli input X 1 ; : : : X n,

Dettagli

I CONTATORI SINCRONI

I CONTATORI SINCRONI I CONTATORI SINCRONI Premessa I contatori sincroni sono temporizzati in modo tale che tutti i Flip-Flop sono commutato ( triggerati ) nello stesso istante. Ciò si realizza collegando la linea del clock

Dettagli

Modellazione RTL. Modulo 7

Modellazione RTL. Modulo 7 Modellazione RTL Modulo 7 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Register Transfer Level Esistono molti modi

Dettagli

Quando possiamo dire che un numero a è sottomultiplo del numero b? Al posto dei puntini inserisci è divisibile per oppure è divisore di

Quando possiamo dire che un numero a è sottomultiplo del numero b? Al posto dei puntini inserisci è divisibile per oppure è divisore di ESERCIZI Quando possiamo dire che un numero a è divisibile per un numero b? Quando possiamo dire che un numero a è sottomultiplo del numero b? Quando un numero si dice primo? Al posto dei puntini inserisci

Dettagli