Calcolatori Elettronici

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Calcolatori Elettronici"

Transcript

1 Calcolatori Elettronici Lezione /1/2012 Reti Logiche: esercizi sulle le reti combinatorie Emiliano Casalicchio

2 Argomenti della lezione Reti combinatorie Decoder, Multiplexer, Demultiplexer, Forma SP, Mappe Karnaugh Ripasso concetti teorici Esercizi Reti Combinatorie 1

3 Decoder Semplice È una rete con N ingressi e p uscite, con p=2 N Legge di corrispondenza ogni uscita riconosce uno ed un solo stato di ingresso, in particolare l uscita j-sima riconosce lo stato di ingresso i cui bit sono la codifica di j in base 2, cioè se (x N-1 x N-2...x 1 x 0 ) b2 =j Esempio decoder 2-4 Reti Combinatorie 2

4 Esempio Decoder 2-4 otteniamo la legge di corrispondenza z 3 = x 1 x 0 z 2 = x 1 x 0 z 1 = x 1 x 0 z 0 = x 1 x 0 Reti Combinatorie 3

5 Esempio Decoder N to 2 N Generalizzando abbiamo la legge di corrispondenza per deconder N to 2 N Reti Combinatorie 4

6 Decoder con enabler Non si mettono mani 2 porte identiche in cascata a meno di non avere vincoli sul numero di ingressi Reti Combinatorie 5

7 Esercizio: costruiamo un decoder 4 to 16 a partire da decoder 2 to 4 Reti Combinatorie 6

8 Esercizio: costruiamo un decoder 4 to 16 a partire da decoder 2 to 4 Reti Combinatorie 7

9 Esercizio 1 Calcolare il numero di decoder con enabler (DE) di tipo n 2 n che servono per costruire un decoder con enabler di tipo 2n 2 (2n) Risposta: Per sostenere 2 2n =2 n 2 n uscite sono necessari 2 n DE n 2 n. Ciascuno di questi riceverà l ingresso di enabler da un ulteriore DE n 2 n. In totale, il numero di DE n 2 n necessari è 2 n +1. Per Casa: Calcolare quanti DE 1 2 sono necessari per realizzare un DE n 2 n, con n = 2 k, k 1. Calcolare inoltre quante porte AND a due ingressi sono necessarie in totale. Reti Combinatorie 8

10 Demultiplexer Identica a quella di un decoder con enabler!!! Reti Combinatorie 9

11 Multiplexer Reti Combinatorie 10

12 Multiplexer Un multiplexer con N variabili di comando è in grado di realizzare qualunque legge combinatoria di N ingressi ed un uscita Reti Combinatorie 11

13 Esercizio2: realizzazione di una rete combinatoria ad N ingressi con un MUX and N-1 variabili di comando y3 y2 y1 y0 b2 b1 1. Prendere N-1 ingressi e collegarli alle variabili di comando. La scelta non influisce sulla realizzabilità. Ad esempio associamo x2 e x1 a b2 e b1. 2. Il rimanente ingresso della RC verrà collegato ad uno degli ingressi del MUX Oss1: Ciascun ingresso del MUX è attivato da una coppia di stati di ingresso adiacenti Oss2: In corrispondenza di ciascuna coppia di stati di ingresso la variabile di uscita potrà assumere solo 4 configurazioni diverse: 00, 01, 10, 11. Reti Combinatorie 12

14 Esercizio: realizzazione di una rete combinatoria ad N ingressi con un MUX and N-1 variabili di comando 1. Veniamo al caso specifico x0 1 0 x0 y3 y2 y1 y0 x2 x1 Per Casa: Provare con una qualsiasi tabella di verita Reti Combinatorie 13

15 Esercizio 3 Si consideri la rete disegnata in figura, con 2 ingressi (x, y), un uscita (z), e 4 variabili di comando a, b, c, d. Tale rete implementa una legge,f(x,y) diversa a seconda del valore delle variabili di comando. 1. Scrivere l espressione algebrica che lega z agli ingressi e alle variabili di comando 2. Manipolando l espressione trovata al punto precedente, calcolare a, b, c, d in modo da implementare una generica funzione,f(x,y) nota (assumendo, cioè, di conoscere f(0,0), f(0,1), f(1,0), f(1,1) 3. calcolare a, b, c, d per i casi f (x, y) = xy f (x, y) = xy Reti Combinatorie 14

16 Soluzione 1. z = a! bx! cy! dxy 2. f (0, 0) = a f (1, 0) = a! b f (0,1) = a! c f (1,1) = a! b! c! d Reti Combinatorie 15

17 Soluzione 1. z = a! bx! cy! dxy 2. f (0, 0) = a f (1, 0) = a! b f (0,1) = a! c f (1,1) = a! b! c! d Reti Combinatorie 16

18 Sintesi di reti SP: richiami la forma canonica ottenuta si può ottimizzare ottenendo una soluzione a costo minore (o =) Reti Combinatorie 17

19 Semplificazione forma canonica SP K0 K1 K2 z=k0+k1+k2 ax+a=a Lista implicanti principali Reti Combinatorie 18

20 Riassumendo Procedimento un po laborioso partendo dalla forma SP. Vediamo qualche metodo alternativo: Mappe di Karnaugh Reti Combinatorie 19

21 Mappe Karnaugh - Esempio Reti Combinatorie 20

22 Esercizio 4 1. Cerco implicanti di ordine 4 2. Cerco implicanti di ordine 2 non coperti nel passo 1 3. Ottengo forma SP (ridondata) Reti Combinatorie 21

23 Esercizio 5 Data la RC in figura: 1. disegnare la mappa di Karnaugh per la legge z, sapendo che non è possibile che si presentino stati di ingresso in cui tutte le variabili hanno lo stesso valore. 2. Individuare e classificare gli implicanti principali, e trovare tutte le liste di copertura irridondanti. Sintetizzare la rete in forma SP, scegliendo la realizzazione di costo minimo secondo il criterio a porte. Reti Combinatorie 22

24 Soluzione: Mappe di Karnaugh Dallo schema si ricava subito: da cui Ricavo ora mappe di Karnaugh Reti Combinatorie 23

25 Soluzione: sintesi in forma SP Sintesi di costo minimo: Reti Combinatorie 24

26 Materiale didattico Materiale didattico basato sul corso di Reti Logiche del prof. G. Stea Reti Combinatorie 25

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Lezione 2 Reti Logiche: Sintesi Emiliano Casalicchio emiliano.casalicchio@uniroma2.it Argomenti della lezione q Reti combinatorie Sintesi, Mappe Karnaugh Esercizi 2 Sintesi di reti

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Sintesi di una rete combinatoria

Sintesi di una rete combinatoria Mappe di Karnaugh Sintesi di una rete combinatoria Offrono uno strumento per esprimere una funzione booleana f: {0,1}n {0,1} in una forma SP o PS minima. Invece della tabella di definizione si impiegano

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Reti Combinatorie: sintesi

Reti Combinatorie: sintesi Reti Combinatorie: sintesi Sintesi di reti combinatorie Una rete combinatoria realizza una funzione di commutazione Data una tabella di verità è possibile ricavare più espressioni equivalenti che la rappresentano.

Dettagli

Dalla tabella alla funzione canonica

Dalla tabella alla funzione canonica Dalla tabella alla funzione canonica La funzione canonica è la funzione logica associata alla tabella di verità del circuito che si vuole progettare. Essa è costituita da una somma di MinTerm con variabili

Dettagli

Esercizi vari con soluzione

Esercizi vari con soluzione Esercii vari con soluione Esercii RC. Eserciio Data la seguente mappa: x 3 x 2 x x - - - - - -. indicare e classificare tutti gli implicanti principali; 2. trovare tutte le possibili liste di copertura

Dettagli

Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche

Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche Ing. Gestionale e delle Telecomunicazioni A.A. 27/8 Gabriele Cecchetti Algebra delle reti logiche Sommario: Segnali digitali vs. segnali analogici

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

Circuiti di commutazione, codifica e decodifica

Circuiti di commutazione, codifica e decodifica Circuiti di commutazione, codifica e decodifica Vediamo ora i più comuni circuiti per la codifica, decodifica e commutazione di informazioni rappresentate sotto forma binaria. Tali circuiti costituiscono

Dettagli

Sintesi di reti combinatorie

Sintesi di reti combinatorie Sintesi di reti combinatorie Criteri e procedure di sintesi (4.1-4.7) Indice Introduzione: formulazione e parametri di valutazione Implicanti principali e coperture irridondanti Mappe di Karnaugh: procedura

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

Algebra di Boole e circuiti logici

Algebra di Boole e circuiti logici lgebra di oole e circuiti logici Progetto Lauree Scientiiche 29 Dipartimento di Fisica Università di Genova Laboratorio di Fisica in collaborazione con il Liceo Scientiico Leonardo da Vinci Genova - 23

Dettagli

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 2011-2012 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 12 Prof. Antonio Pescapè Università degli Studi di Napoli Federico II Facoltà

Dettagli

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana Fondamenti di Informatica per Meccanici Energetici - iomedici 1 Mr. oole lgebra di oole George oole: Matematico inglese del XIX secolo lgebra che descrive le leggi del pensiero Logica da cui è possibile

Dettagli

Richiami di Algebra di Commutazione

Richiami di Algebra di Commutazione LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 6-7 Richiami di Algebra di Commutazione In questa

Dettagli

Le mappe di Karnaugh

Le mappe di Karnaugh Le mappe di Karnaugh Le semplificazioni di una funzione logica possono essere effettuate mediante i teoremi dell'algebra di Boole. Esiste però un metodo molto più pratico di semplificazione che quello

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Algebra di Boole X Y Z V. Algebra di Boole

Algebra di Boole X Y Z V. Algebra di Boole L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole che

Dettagli

LEZIONE N 91. Introduzione agli elementi architetturali principali. Roberto Giorgi, Universita di Siena, C116L91, Slide 1

LEZIONE N 91. Introduzione agli elementi architetturali principali. Roberto Giorgi, Universita di Siena, C116L91, Slide 1 LEZIONE N 91 Introduzione agli elementi architetturali principali Roberto Giorgi, Universita di Siena, C116L91, Slide 1 FORME STANDARD DI FUNZIONI BOOLEANE Roberto Giorgi, Universita di Siena, C116L91,

Dettagli

PIANO DI LAVORO DEI DOCENTI

PIANO DI LAVORO DEI DOCENTI Pag. 1 di 5 Docente: Materia insegnamento: ELETTRONICA GENERALE Dipartimento: Anno scolastico: ELETTRONICA ETR Classe 1 Livello di partenza (test di ingresso, livelli rilevati) Il corso richiede conoscenze

Dettagli

Corso di Calcolatori Elettronici I

Corso di Calcolatori Elettronici I Corso di Calcolatori Elettronici I Algebra di Boole: minimizzazione di funzioni booleane Roberto Canonico Università degli Studi di Napoli Federico II A.A. 2014-2015 Roberto Canonico Corso di Calcolatori

Dettagli

Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR

Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR Corso di Calcolatori Elettronici I A.A. 2010-2011 Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR Lezione 7 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Funzioni Equivalenza

Dettagli

Programma di Elettrotecnica ed Elettronica. Classe III A EN Prof. Maria Rosaria De Fusco e Domenico Bartemucci. a.s

Programma di Elettrotecnica ed Elettronica. Classe III A EN Prof. Maria Rosaria De Fusco e Domenico Bartemucci. a.s Programma di Elettrotecnica ed Elettronica Classe III A EN Prof. Maria Rosaria De Fusco e Domenico Bartemucci a.s. 2014-2015 Elettrotecnica: Nozioni fondamentali: La struttura della materia La corrente

Dettagli

ISTITUTO D'ISTRUZIONE SUPERIORE J.C. MAXWELL Data 30 maggio 2016 Pag. 1 di 5 PROGRAMMA SVOLTO: ELETTROTECNICA, ELETTRONICA E AUTOMAZIONE

ISTITUTO D'ISTRUZIONE SUPERIORE J.C. MAXWELL Data 30 maggio 2016 Pag. 1 di 5 PROGRAMMA SVOLTO: ELETTROTECNICA, ELETTRONICA E AUTOMAZIONE Data 30 maggio 2016 Pag. 1 di 5 materia: ELETTROTECNICA, ELETTRONICA E AUTOMAZIONE I circuiti elettrici e relative misure docenti : Carla BIASCA Giovanni PENNACCHIA classe : 3CR Ripasso: potenze, notaz.

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010 MUX-DEMUX-ROM-PLA

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010 MUX-DEMUX-ROM-PLA Reti Logiche Prof. B. Buttarazzi A.A. 29/2 MUX-DEMUX-ROM-PLA Sommario Sintesi di Reti Combinatorie mediante Multiplexer Demultiplexer ROM PLA 2/6/2 Corso di Reti Logiche 29/ 2 Metodo generale di sintesi

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Circuiti digitali. Operazioni Logiche: Algebra di Boole. Esempio di circuito. Porte Logiche. Fondamenti di Informatica A Ingegneria Gestionale

Circuiti digitali. Operazioni Logiche: Algebra di Boole. Esempio di circuito. Porte Logiche. Fondamenti di Informatica A Ingegneria Gestionale Operazioni Logiche: lgebra di oole Fondamenti di Informatica Ingegneria Gestionale Università degli Studi di rescia Docente: Prof. lfonso Gerevini Circuiti digitali Il calcolatore può essere visto come

Dettagli

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione CIRCUITI COMBINATORI Un circuito combinatorio (o rete combinatoria) è un insieme interconnesso di porte logiche il cui output, istante per istante dipende unicamente dallo stato che gli ingressi della

Dettagli

Algebra di Boole Algebra di Boole

Algebra di Boole Algebra di Boole 1 L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole

Dettagli

Algebra di Boole: minimizzazione di funzioni booleane

Algebra di Boole: minimizzazione di funzioni booleane Corso di Calcolatori Elettronici I A.A. 200-20 Algebra di Boole: minimizzazione di funzioni booleane Lezione 8 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Forme Ridotte p Vantaggi

Dettagli

Esercizio sugli automi di Moore

Esercizio sugli automi di Moore Esercizio sugli automi di Moore 1. Sintesi di un automa di Moore: Gestione di un sistema di inscatolamento. Si vuole costruire una rete sequenziale che controlli un sistema di inscatolamento. Braccio1

Dettagli

Esercizi. 1. Algebra booleana

Esercizi. 1. Algebra booleana Esercizi 1. Algebra booleana Esercizio 1.1 Data le forma logica F = (z(xy ) +x+yz ) +x y Svolgere i seguenti punti: Applicare le regole dell algebra per semplificare la forma riducendo il numero dei prodotti

Dettagli

Algebra di Boole. Tavole di verità. Fondamenti di Informatica Algebra di Boole. Si basa su tre operazioni logiche: AND (*) OR (+) NOT (!

Algebra di Boole. Tavole di verità. Fondamenti di Informatica Algebra di Boole. Si basa su tre operazioni logiche: AND (*) OR (+) NOT (! Fondamenti di Informatica Algebra di Boole Prof.ssa Enrica Gentile Informatica e Comunicazione Digitale a.a. 2-22 Algebra di Boole Si basa su tre operazioni logiche: AND (*) OR () NOT (!) Gli operandi

Dettagli

Architetture degli Elaboratori I II Compito di Esonero (A) - 16/1/1997

Architetture degli Elaboratori I II Compito di Esonero (A) - 16/1/1997 1 II Compito di Esonero (A) - 16/1/1997 Non è ammessa la consultazione di nessun testo, nè l utilizzo di nessun tipo di calcolatrice. Ogni esercizio riporta, fra parentesi, il suo valore in trentesimi

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Cosa sono le reti logiche? Fino ad ora abbiamo visto Rappresentazione dell informazione Assembler L obbie:vo di questo corso è mostrare come si proge>o una computer

Dettagli

Algebra di Boole. Le reti logiche

Algebra di Boole. Le reti logiche Algebra di Boole Le reti logiche Tutte le informaioni trattate finora sono codificate tramite stringhe di bit Le elaboraioni da compiere su tali informaioni consistono nel costruire, a partire da determinate

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

Esercizi svolti Y Z. 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari AND, OR, NOT.

Esercizi svolti Y Z. 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari AND, OR, NOT. Esercizi svolti 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari ND, OR, NOT. a) F= b) F= F= 2. Date le seguenti funzioni logiche ricavare le

Dettagli

Ottimizzazione delle reti combinatorie

Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie L ottimizzazione di un circuito comporta normalmente un compromesso tra: Prestazioni (ritardo di propagazione) Area (o costo)

Dettagli

Sistemi Combinatori & Mappe di Karnaugh

Sistemi Combinatori & Mappe di Karnaugh Sistemi Combinatori & Mappe di Karnaugh AB E=0 F=0 E=1 F=0 00 01 11 10 AB 00 01 11 10 00 1 0 0 0 00 0 0 0 0 01 0 0 0 0 01 0 0 0 0 11 0 0 1 0 11 0 0 1 0 10 0 0 0 1 10 0 0 0 1 AB 00 01 11 10 AB 00 01 11

Dettagli

Quante sono le combinazioni possibili n cifre che possono assumere i valori 0 e 1? Le combinazioni possibili sono 2 n.

Quante sono le combinazioni possibili n cifre che possono assumere i valori 0 e 1? Le combinazioni possibili sono 2 n. Lezioni di Architettura degli elaboratori O. D antona Le funzioni booleane Funzione booleana La funzione booleana è un applicazione dall insieme dei numeri le cui cifre sono composte da 0 e 1 all insieme

Dettagli

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A Modulo n 1 - Concetti informatici di base 1.1 Introduzione allo studio del computer 1.2 Rappresentazione

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone alcolatori ElettroniciIngegneria Telematica Sintesi di Reti Sequenziali Sincrone na macchina sequenziale è definita dalla quintupla δ, λ) dove: I è l insieme finito

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Sequenziali Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico 2007/2008 Sintesi dei circuiti sequenziali

Dettagli

Fondamenti di Informatica B. Fondamenti di Informatica B. Esercizio 1. Riepilogo teorico

Fondamenti di Informatica B. Fondamenti di Informatica B. Esercizio 1. Riepilogo teorico Fondamenti di Informatica sercitazione n. Fondamenti di Informatica sercitazione n. lgerba booleana Tabelle della verità iagrammi di Venn lementi logici sercitazione n. lgebra booleana Fondamenti di informatica

Dettagli

Mappe di Karnaugh G. MARSELLA UNIVERSITÀ DEL SALENTO

Mappe di Karnaugh G. MARSELLA UNIVERSITÀ DEL SALENTO Mappe di Karnaugh 1 G. MARSELLA UNIVERSITÀ DEL SALENTO Introduzione Le semplificazioni di una funzione logica possono essere effettuate mediante i teoremi dell'algebra di Boole. Esiste però un metodo molto

Dettagli

Automi a stati finiti

Automi a stati finiti 1. Automi a stati finiti: introduzione Automi a stati finiti Supponiamo di avere un sistema che si può trovare in uno stato appartenente ad un insieme finito di stati possibili. Ex: Immaginiamo un incrocio

Dettagli

1. Automi a stati finiti: introduzione

1. Automi a stati finiti: introduzione 1. Automi a stati finiti: introduzione Supponiamo di avere un sistema che si può trovare in uno stato appartenente ad un insieme finito di stati possibili. Ex: Immaginiamo un incrocio tra due strade regolate

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Funzioni booleane. Vitoantonio Bevilacqua.

Funzioni booleane. Vitoantonio Bevilacqua. Funzioni booleane Vitoantonio Bevilacqua bevilacqua@poliba.it Sommario. Il presente paragrafo si riferisce alle lezioni del corso di Fondamenti di Informatica e Laboratorio di Informatica dei giorni 9

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno Progetto di Contatori sincroni Mariagiovanna Sami Corso di reti Logiche 8 Anno 08 Introduzione Per le reti sequenziali esistono metodologie di progettazione generali, che partendo da una specifica a parole

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti rchitettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff.. orghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Metodo di Quine- McCluskey

Metodo di Quine- McCluskey Metodo di Quine- McCluskey Maurizio Palesi Maurizio Palesi Definizioni Date due funzioni f(x,x 2,,x n ) e g(x,x 2,,x n ) si dice che f copre g (oppure g implica f) e si scrive f g se f(x,x 2,,x n )= quando

Dettagli

Algebra di Boole: mappe di Karnaugh

Algebra di Boole: mappe di Karnaugh Corso di Calcolatori Elettronici I A.A. 2012-2013 Algebra di Boole: mappe di Karnaugh Pro. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Prova d esame di Reti Logiche T 10 Giugno 2016

Prova d esame di Reti Logiche T 10 Giugno 2016 Prova d esame di Reti Logiche T 10 Giugno 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Circuiti Combinatori

Circuiti Combinatori Circuiti Combinatori circuiti combinatori sono circuiti nei quali le uscite dipendono solo dalla combinazione delle variabili logiche presenti nello stesso istante all ingresso Essi realizzano: Operazioni

Dettagli

Algebra di Boole. Modulo 2. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Algebra di Boole. Modulo 2. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Algebra di Boole Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Algebra di Boole L algebra di Boole o della commutazione è lo strumento

Dettagli

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 Caratteristiche elettriche dei materiali Leggi di Ohm Generatori di tensione e di corrente Resistori in serie e in parallelo Partitori di tensione e di corrente

Dettagli

Le coniche: circonferenza, parabola, ellisse e iperbole.

Le coniche: circonferenza, parabola, ellisse e iperbole. Le coniche: circonferenza, parabola, ellisse e iperbole. Teoria in sintesi Queste curve si chiamano coniche perché sono ottenute tramite l intersezione di una superficie conica con un piano. Si possono

Dettagli

Sintesi di Espressioni Booleane

Sintesi di Espressioni Booleane MIXAGGI POCO FLUIDIISTITUTO DI ISTRUZIONE SUPERIORE ANGIOY Sintesi di Espressioni Booleane Prof. G. Ciaschetti. Definizioni preliminari Nella vita di tutti giorni, troviamo spesso le parole analisi e sintesi.

Dettagli

A.A. 2003/2004 Esercizi di Reti Logiche A

A.A. 2003/2004 Esercizi di Reti Logiche A A.A. 2003/2004 Esercizi di Reti Logiche A A cura di F. Ferrandi, C. Silvano Ultimo aggiornamento, 11 novembre 2003 Questi appunti sono stati possibili anche per il lavoro fatto da alcuni studenti del corso

Dettagli

I Circuiti combinatori: LOGISIM

I Circuiti combinatori: LOGISIM 1 ISTITUTO DI ISTRUZIONE SUPERIORE ANGIOY I Circuiti combinatori: LOGISIM Prof. G. Ciaschetti 1. Le porte logiche Un circuito combinatorio (o sistema combinatorio o rete combinatoria) è un circuito elettrico,

Dettagli

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 20-202 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 5 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di

Dettagli

Architetture aritmetiche

Architetture aritmetiche Architetture aritmetiche Sommatori: : Full Adder, Ripple Carry Sommatori: Carry Look-Ahead Ahead, Carry Save, Add/Subtract Moltiplicatori: Combinatori, Wallace,, Sequenziali Circuiti per aritmetica in

Dettagli

Logica combinatoria. La logica digitale

Logica combinatoria. La logica digitale Logica combinatoria La logica digitale La macchina è formata da porte logiche Ogni porta riceve in ingresso dei segnali binari (cioè segnali che possono essere o ) e calcola una semplice funzione (ND,

Dettagli

Le variabili logiche possono essere combinate per mezzo di operatori detti connettivi logici. I principali sono:

Le variabili logiche possono essere combinate per mezzo di operatori detti connettivi logici. I principali sono: Variabili logiche Una variabile logica (o booleana) è una variable che può assumere solo uno di due valori: Connettivi logici True (vero identificato con 1) False (falso identificato con 0) Le variabili

Dettagli

Cosa è l Informatica?

Cosa è l Informatica? Cosa è l Informatica? Scienza degli elaboratori elettronici (Computer Science) Scienza dell informazione Scienza della rappresentazione, memorizzazione, elaborazione e trasmissione dell informazione Elaboratore

Dettagli

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO ORDINAMENTO DIDATTICO 14 Settembre 2004

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO ORDINAMENTO DIDATTICO 14 Settembre 2004 SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI NUOVO ORDINAMENTO DIDATTICO 4 Settembre 2004 MOTIVARE IN MANIERA CHIARA LE SOLUZIONI PROPOSTE A CIASCUNO DEGLI ESERCIZI SVOLTI ESERCIZIO (0 punti) () (5 punti)

Dettagli

(Link al materiale in formato html)

(Link al materiale in formato html) Materiale didattico realizzato dal Prof. Giancarlo Fionda insegnante di elettronica. Di seguito è mostrato l'elenco degli argomenti trattati (indice delle dispense): (Link al materiale in formato html)

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

Funzioni e Reti Logiche. Architettura degli Elaboratori I

Funzioni e Reti Logiche. Architettura degli Elaboratori I Funzioni e Reti Logiche Architettura degli Elaboratori I palopoli@dit.unitn.it Funzioni circuitali I circuiti elettronici non sono in grado di svolgere operazioni complesse o algebriche Le funzioni base

Dettagli

Algebra di Boole. Andrea Passerini Informatica. Algebra di Boole

Algebra di Boole. Andrea Passerini Informatica. Algebra di Boole Andrea Passerini passerini@disi.unitn.it Informatica Variabili logiche Una variabile logica (o booleana) è una variable che può assumere solo uno di due valori: True (vero identificato con 1) False (falso

Dettagli

UNITÀ DIDATTICA 5 LA RETTA

UNITÀ DIDATTICA 5 LA RETTA UNITÀ DIDATTICA 5 LA RETTA 5.1 - La retta Equazione generica della retta Dalle considerazioni emerse nel precedente capitolo abbiamo compreso come una funzione possa essere rappresentata da un insieme

Dettagli

2.6 Riflessione conclusiva su descrizione e sintesi delle reti logiche

2.6 Riflessione conclusiva su descrizione e sintesi delle reti logiche 2.6 Riflessione conclusiva su descrizione e sintesi delle reti logiche Abbiamo visto vari tipi di reti logiche: quelle combinatorie, sia semplici (pochi ingressi ed uscite) sia complesse (e.g., quelle

Dettagli

SIMULAZIONE DELLA PROVA INTERMEDIA DEL CORSO DI CALCOLATORI ELETTRONICI

SIMULAZIONE DELLA PROVA INTERMEDIA DEL CORSO DI CALCOLATORI ELETTRONICI SIMULAZIONE DELLA PROVA INTERMEDIA DEL CORSO DI CALCOLATORI ELETTRONICI ESERCIZIO 1 (10 Punti) Si implementi una rete sequenziale la cui uscita valga Z=1 solo quando viene riconosciuta la sequenza in ingresso

Dettagli

Corso E Docente: Siniscalchi. Algebra di Boole

Corso E Docente: Siniscalchi. Algebra di Boole Corso E Docente: Siniscalchi Algebra di Boole I circuiti logici sono componenti hardware che manipolano informazione binaria. I circuiti di base sono detti PORTE LOGICHE (logical gate). Allo scopo di descrivere

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori circuiti combinatori: ALU slide a cura di Salvatore Orlando, Marta Simeoni, Andrea Torsello 1 ALU ALU (Arithmetic Logic Unit) circuito combinatorio all interno del processore per l esecuzione di istruzioni

Dettagli

Algebra Booleana, Funzioni Logiche e Circuiti Logici

Algebra Booleana, Funzioni Logiche e Circuiti Logici Algebra Booleana, Funzioni Logiche e Circuiti Logici Esercizio 1 Si scriva, utilizzando gli operatori booleani AND, OR, NOT, la funzione booleana che riceve in ingresso un numero binario puro su 3 bit

Dettagli

Domande di Reti Logiche compito del 29/1/2016

Domande di Reti Logiche compito del 29/1/2016 Domande di Reti Logiche compito del 29/1/2016 Barrare una sola risposta per ogni domanda Il punteggio finale è -1 (n. di risposte errate + n. domande lasciate in bianco Usare lo spazio bianco sul retro

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

Tecniche di Progettazione Digitale. Reti combinatorie: Le mappe di Karnaugh

Tecniche di Progettazione Digitale. Reti combinatorie: Le mappe di Karnaugh Tecniche di Progettazione Digitale Reti cominatorie: Le mappe di Karnaugh Valentino Lierali Mappe di Karnaugh (1) Una unzione ooleana di n it ha come dominio l insieme costituito da tutte le possiili n-ple

Dettagli

Minimizzazione del costo di reti livelli a 2 livelli tramite mappe di Karnaugh. Mappe di Karnaugh. Sommario. Sommario. M. Favalli

Minimizzazione del costo di reti livelli a 2 livelli tramite mappe di Karnaugh. Mappe di Karnaugh. Sommario. Sommario. M. Favalli Sommario Minimiaione del costo di reti livelli a 2 livelli tramite mappe di Karnaugh Rappresentaione grafica di funioni M. Favalli Engineering Department in Ferrara 2 Mappe di Karnaugh 3 Copertura 4 Funioni

Dettagli

ESERCIZI DEL CORSO DI INFORMATICA

ESERCIZI DEL CORSO DI INFORMATICA ESERCIZI DEL CORSO DI INFORMTIC Questa breve raccolta di esercizi vuole mettere in luce alcuni aspetti della prima parte del corso e fornire qualche spunto di riflessione. Il contenuto del materiale seguente

Dettagli

Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi. Mercoledì 8 ottobre 2014

Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi. Mercoledì 8 ottobre 2014 Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi Mercoledì 8 ottobre 2014 Notazione scientifica normalizzata La rappresentazione in virgola mobile che adotteremo si basa sulla notazione

Dettagli

PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND.

PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND. PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND. I CIRCUITO Si vuole realizzare il circuito logico della funzione logica rappresentata nella tabella di verità di seguito

Dettagli

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni Le macchine digitali Le Macchine digitali sono Sistemi artificiali che elaborano informazioni ogni informazione è descritta da variabili che possono assumere solo un numero finito di valori Ad ogni variabile

Dettagli

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh. Mariagiovanna Sami a.a.

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh. Mariagiovanna Sami a.a. Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh Mariagiovanna Sami a.a. 2007-2008 2008 livelli: Introduzione Le reti combinatorie mostrano in ogni istante

Dettagli

Anno 4 Matrice inversa

Anno 4 Matrice inversa Anno 4 Matrice inversa 1 Introduzione In questa lezione parleremo della matrice inversa di una matrice quadrata: definizione metodo per individuarla Al termine della lezione sarai in grado di: descrivere

Dettagli

Correzione secondo compitino, testo B

Correzione secondo compitino, testo B Correzione secondo compitino, testo B 7 aprile 2010 1 Parte 1 Esercizio 1.1. Tra le funzioni del vostro bestiario, le funzioni che più hanno un comportamento simile a quello cercato sono le funzioni esponenziali

Dettagli

Rappresentazione in complemento a 2: caratteristiche generali

Rappresentazione in complemento a 2: caratteristiche generali Rappresentazione in complemento a 2: caratteristiche generali La rappresentazione non è completamente posizionale, ma in parte sì. Guardando il bit più significativo (MSB) si capisce se il numero è positivo

Dettagli

La trilaterazione. Obiettivi di apprendimento: Definizione di trilaterazione Risoluzione di un terreno a piano quotato

La trilaterazione. Obiettivi di apprendimento: Definizione di trilaterazione Risoluzione di un terreno a piano quotato La trilaterazione È necessario sapere e saper operare con: Le proporzioni Obiettivi di apprendimento: Definizione di trilaterazione Risoluzione di un terreno a piano quotato La trilaterazione è una tecnica

Dettagli

3. Segni della funzione (positività e negatività)

3. Segni della funzione (positività e negatività) . Segni della funzione (positività e negatività) Questo punto, qualora sia possibile algebricamente, ci permette di stabilire il segno che assume la variabile dipendente y (che esprime il valore della

Dettagli

Algebra di Commutazione

Algebra di Commutazione Algebra di Commutazione Maurizio Palesi Maurizio Palesi 1 Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere: Un modello che permette di rappresentare insiemi di numeri

Dettagli

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni FACOLTA : INGEGNERIA CORSO DI LAUREA: INFORMATICA INSEGNAMENTO: CONTROLLI DIGITALI Modulo 1 NOME DOCENTE: Prof. Giovanni Fedecostante indirizzo e-mail: giovanni.fedecostante@uniecampus.it orario ricevimento

Dettagli