Le Macchine digitali sono Sistemi artificiali che elaborano informazioni

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Le Macchine digitali sono Sistemi artificiali che elaborano informazioni"

Transcript

1 Le macchine digitali Le Macchine digitali sono Sistemi artificiali che elaborano informazioni ogni informazione è descritta da variabili che possono assumere solo un numero finito di valori Ad ogni variabile è associata una grandezza fisica Se questo numero finito è 2, allora si parla di macchina binaria Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 1

2 Esempio di sistema di elaborazione digitale + La lampadina è accesa se almeno un interruttore è chiuso u 2 ingressi (da elaborare) Sistema digitale 1 uscita (Risultato) i 1 Ingressi (Cause) aperto chiuso Uscita (Effetto) corrente SI corrente NO i 2 - i 1 i 2 u a a no a c si c a si c c si La tabella che descrive l elaborazione effettuata su i 1 e i 2 si chiama Tabella della Verità Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 2

3 Software La macchina digitale programmabile Software Applicativo Linguaggio di Programmazione Hardware Livello architettonico Livello logico Livello fisico Software di base Instruction Set Processore, Memoria, I/O, Bus Registri, Contatori, Selettori, Alu, ecc. Reti logiche Famiglie e Librerie di Circuiti Circuiti elettronici Interruttori elettronici Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 3

4 Livello Architettonico L hardware del calcolatore si interfaccia con il software attraverso il suo set di istruzioni (linguaggio macchina) Memoria principale Programma Dati Unità di elaborazione PC ALU Registri Cache Interfacce Registri di transito e di stato Rete ingressi e uscite locali Bus di sistema Struttura del calcolatore (macchina digitale a esecuzione sequenziale e programma memorizzato) Ogni blocco della struttura è costituito da circuiti elettronici digitali Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 4

5 Tecnologia elettronica: chip e contenitori Anno Sigla interruttori/chip 1968 SSI MSI 300 Standard 1972 LSI DIP 1975 VLSI PCC UVLSI PLCC CERQUAD SECC Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 5

6 Struttura & Comportamento COMPORTAMENTO: vista della macchina focalizzata sulle risposte fornite a seguito di ogni possibile sollecitazione esterna STRUTTURA: vista della macchina focalizzata sui componenti e sulle modalità con cui interagiscono ingressi causa Sistema digitale uscite effetto Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 6

7 Analisi & Sintesi astrazione cosa fa Descrizione del COMPORTAMENTO come è fatta Sintesi Analisi Descrizione della STRUTTURA Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 7

8 Livelli di descrizione La descrizione del comportamento può essere più e più volte decomposta in comportamenti più semplici Ogni livello di questa gerarchia individua strutture formate da componenti astratti il cui comportamento e la cui struttura è definita nel livello sottostante Scendendo dall alto verso il basso aumenta il numero di componenti e diminuisce la complessità dell azione svolta da ciascuno Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 8

9 Il progetto o sintesi Metodologie per l ottimizzazione del costo e delle prestazioni Descrizione del comportamento Elenco dei componenti disponibili, del loro comportamento e delle modalità con cui farli interagire Descrizione della struttura Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 9

10 Occupiamoci del livello logico Hardware Livello architettonico Livello logico software Instruction Set Processore, Memoria, I/O, Bus Registri, Contatori, Selettori, Alu, ecc. Reti logiche Famiglie e Librerie di Circuiti Livello fisico Gli interruttori elettronici sono Dispositivi a tre morsetti: il primo controlla il passaggio di corrente tra gli altri due Circuiti elettronici Interruttori elettronici Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 10

11 Azionamento elettronico interruttore! Causa valore alto valore basso Effetto corrente SI corrente NO bipolare il transistore unipolare Corrente elettrica Tensione elettrica Corrente elettrica Corrente elettrica Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 11

12 Causa/effetto nell interruttore elettronico Forma d onda della tensione o della corrente di ingresso nel morsetto di controllo H L tempo interruttore elettronico aperto da L e chiuso da H Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 12

13 Tecnologia e prestazioni Azionamento Potenza Ingombro Velocità Manuale decimetri secondi Elettrico watt centimetri ms Elettronico nw micron ns evoluzione Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 13

14 Livello logico Livello logico - Astrazione del livello fisico in cui si prescinde da ogni aspetto tecnologico per poter studiare e progettare complesse strutture, o reti, di interruttori da impiegare come componenti nel livello architettonico. Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 14

15 Azionamento manuale Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 15

16 Il livello logico Ram, Registro, Contatore Alu, Decoder Multiplexer Funzioni, variabili, espressioni Processore,memoria, I/O Livello architettonico Livello logico contatti, segnali e circuiti Livello fisico Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 16

17 Premessa fondamentale allo studio delle reti combinatorie: l approssimazione del modello Il modello di comportamento delle reti logiche combinatorie mette in relazione le uscite con il valore degli ingressi nello stesso istante (F: I U) Nel modello quindi si ipotizza implicitamente che il ritardo introdotto dalle reti combinatorie sia nullo. Questa è un approssimazione del vero comportamento dei circuiti elettronici che realizzano reti combinatorie; infatti tutti i circuiti reali introducono un ritardo, per quanto piccolo. Estinto il ritardo, però, il comportamento del circuito elettronico è esattamente quello modellato dalla definizione della macchina combinatoria (F: I U). Si può quindi affermare che il ritardo rappresenta un fenomeno transitorio, estinto il quale il modello della macchina combinatoria riflette il funzionamento del circuito elettronico Il funzionamento dopo il transitorio iniziale si chiama anche funzionamento a regime Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 17

18 Comportamento a regime ed in transitorio dei circuiti combinatori I nuovi valori dei segnali di ingresso di una rete combinatoria devono propagarsi all interno della struttura prima di riuscire ad imporre al segnale d uscita il valore che ad essi deve corrispondere. Ciò determina un comportamento in transitorio, che in generale sarà diverso da quello a regime. Il comportamento a regime è quello previsto dal modello. ingresso i uscita u comportamento in transitorio comportamento a regime Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 18

19 Altra premessa allo studio delle reti combinatorie: le porte logiche e gli operatori elementari Gate o porta logica - Struttura formata da alcuni interruttori singolarmente azionabili dall esterno e caratterizzata da un segnale di uscita il cui valore a regime dipende unicamente dai valori contemporanei dei segnali di azionamento degli interruttori. Operatore logico elementare: rete logica combinatoria primitiva cioè considerata non decomponibile (vedi principio di decomposizione delle reti logiche) Gli operatori logici elementari vengono assegnati mediante la relazione ingresso/uscita e vengono rappresentati con simboli che li identificano. Esempio: ecco i tre operatori logici elementari definiti nell algebra di commutazione Ciascuno di essi viene realizzato con porte logiche chiamate con lo stesso nome L operatore not L operatore and L operatore or Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 19

20 Esempio:il gate not elettronico e l operatore logico not Questo è l operatore logico che viene usato nei progetti I U I U E V u +E volt oppure 0 volt Con la codifica di Vi e Vu si ottiene la tabella della verità dell operatore logico il quale agirà su variabili binarie V i V u 0 volt oppure +E volt V i Questo è il gate Se V i = E allora l interruttore è chiuso 0 + E + E 0 Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 20

21 Velocità di commutazione: il ritardo del Not elettronico + E causa: V i V u alta bassa tempo V i effetto: V u DT1 DT2 alta bassa tempo Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 21

22 Il ritardo sui fronti Il ritardo sui fronti di salita (τ LH ) e di discesa (τ HL ) è presente in ogni tipo di gate e varia in modo notevole da dispositivo a dispositivo. A causa della marcata differenza dei due valori, la durata di una situazione H o L in ingresso ad un gate è diversa dalla corrispondente situazione in uscita. A causa della inerzia del gate, un segnale di ingresso impulsivo e troppo stretto può non essere avvertito in uscita. Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 22

23 Il ritardo di propagazione ritardo di propagazione: t p = max (t LH, t HL ) Ritardo puro t p Dt < t p Ritardo inerziale nessun effetto Il modello del ritardo inerziale è il più vicino alla realtà Il ritardo puro (o matematico) è però più facile da simulare Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 23 t p

24 Un modello più realistico per il gate x 1 x 2 Simbolo grafico dell operatore logico o gate ideale Z ritardo di propagazione z x n gate reale (o quasi) Z = F(x 1, x 2,.., x n ) z(t) = Z(t-t p ) N.B. - I Costruttori di famiglie logiche forniscono i valori minimo, nominale e massimo di t p L operatore logico è una astrazione: esso descrive il funzionamento del gate ideale, a ritardo nullo; descrive cioè il funzionamento del gate a regime Il gate ha dunque un comportamento sequenziale: l uscita all istante t dipende dal valore degli ingressi all istante t-t p! Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 24

25 La relazione ingresso/uscita e il simbolo grafico degli operatori logici AND e OR I1 I2 U Tabella della verità Operatore logico AND Simbolo grafico I1 I2 U Tabella della verità Operatore logico OR Simbolo grafico Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 25

26 La relazione ingresso/uscita e il simbolo grafico dell operatore NOT Operatore logico NOT I U Tabella della verità Simbolo grafico In una diapositiva precedente abbiamo visto come può essere fatto un gate che realizza la funzione dell operatore logico NOT con un interruttore elettronico Nei corsi di elettronica digitale si studieranno altre realizzazioni dello stesso gate, nonché diverse realizzazioni di gate che realizzano le funzioni degli operatori logici AND e OR Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 26

27 I gate and e or realizzati con interruttori in serie I1 I2 AB I1 I2 AB Il gate and Il gate or Due differenti astrazioni! {aperto = 0, chiuso = 1} {aperto = 1, chiuso = 0} A I1 Contatti in serie I2 B I1 I2 AB aperto aperto aperto aperto chiuso aperto chiuso aperto aperto chiuso chiuso chiuso Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 27

28 I gate and e or realizzati con interruttori in parallelo I1 I2 AB I1 I2 AB Il gate or Il gate and Due differenti astrazioni! {aperto = 0, chiuso = 1} {aperto = 1, chiuso = 0} Contatti in parallelo A I1 I2 B I1 I2 AB aperto aperto aperto aperto chiuso chiuso chiuso aperto chiuso chiuso chiuso chiuso Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 28

29 Considerazioni sui due lucidi precedenti Nelle due precedenti diapositive abbiamo mostrato concettualmente come un AND e un OR possono essere realizzati utilizzando interruttori Si noti che la funzione logica realizzata dipende dalla codifica: un AND in logica positiva è un OR in logica negativa e viceversa questo fatto è una conseguenza di un principio detto di dualità che vedrete in altri corsi Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 29

30 Definizione delle tre operazioni dell algebra di commutazione e dei corrispondenti operatori logici Complementazione : z = x, z = x, z = ø x Postulati: Funzione: x z Realizzazione: 0 = z 1 = x Operatore NOT Somma logica: z = x + y, z = x y Postulati: Funzione: x y z Realizzazione: = Operatore OR = x = z = y Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 30

31 Prodotto logico: z = x. y, z = xy, z = x y Postulati: Funzione: x y z Realizzazione: 0. 0 = = x 1. 0 = z 1. 1 = y Operatore logico AND C è una corrispondenza biunivoca tra gli operatori logici NOT, OR, AND e le tre operazioni dell algebra complementazione, somma logica e prodotto logico (rispettivamente rappresentate con i caratteri +. ) C è una corrispondenza biunivoca tra ingressi dell operatore logico e operandi dell operazione algebrica C è una corrispondenza biunivoca tra l uscita dell operatore logico e il risultato dell operazione algebrica (segue) Calcolatori Elettronici a.a Omero Tuzzi Circuiti Digitali 31

Il problema della sintesi

Il problema della sintesi Il problema della sintesi Assegnata una qualsiasi funzione di variabili binarie, è possibile descriverla con una espressione contenente solo le operazioni eseguite dai gate? Algebre binarie Algebra binaria

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

Reti logiche: introduzione

Reti logiche: introduzione Corso di Calcolatori Elettronici I Reti logiche: introduzione ing. Alessandro Cilardo Corso di Laurea in Ingegneria Biomedica Circuiti e porte logiche Esempio di rete di commutazione: Circuiti e porte

Dettagli

le macchine digitali Macchine digitali Programma e Prove d esame 7: Reti sincrone 6: Reti asincrone Saper fare

le macchine digitali Macchine digitali Programma e Prove d esame 7: Reti sincrone 6: Reti asincrone Saper fare RETI OGICHE insegna a descrivere ed a progettare.. complessità le macchine digitali tutte le attività controllo calcolo misura Roberto aschi Andrea odi Stefano Mattoccia Marco Prandini Aspiranti ingegneri

Dettagli

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 2011-2012 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 12 Prof. Antonio Pescapè Università degli Studi di Napoli Federico II Facoltà

Dettagli

AXO - Architettura dei Calcolatori e Sistema Operativo. organizzazione strutturata dei calcolatori

AXO - Architettura dei Calcolatori e Sistema Operativo. organizzazione strutturata dei calcolatori AXO - Architettura dei Calcolatori e Sistema Operativo organizzazione strutturata dei calcolatori I livelli I calcolatori sono progettati come una serie di livelli ognuno dei quali si basa sui livelli

Dettagli

Circuiti digitali. Operazioni Logiche: Algebra di Boole. Esempio di circuito. Porte Logiche. Fondamenti di Informatica A Ingegneria Gestionale

Circuiti digitali. Operazioni Logiche: Algebra di Boole. Esempio di circuito. Porte Logiche. Fondamenti di Informatica A Ingegneria Gestionale Operazioni Logiche: lgebra di oole Fondamenti di Informatica Ingegneria Gestionale Università degli Studi di rescia Docente: Prof. lfonso Gerevini Circuiti digitali Il calcolatore può essere visto come

Dettagli

Obiettivi del corso. Compiti a casa (da fare in due!) Regolamento prove d esame. Dispense R. Laschi, M.Prandini Reti Logiche Esculapio,

Obiettivi del corso. Compiti a casa (da fare in due!) Regolamento prove d esame. Dispense R. Laschi, M.Prandini Reti Logiche Esculapio, 1 Regolamento prove d esame Dispense R. aschi, M.Prandini Reti ogiche Esculapio, 2007 - Informazioni, Slide e Compiti risolti www.lia.deis.unibo.it/courses/2006-2007/reti ogiche -A esame prevede due prove:

Dettagli

Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica ALGEBRA BOOLEANA

Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica ALGEBRA BOOLEANA Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica ALGEBRA BOOLEANA Introduzione George Boole (1815-1864) nel 1854 elaborò una algebra basata su predicati logici. Valori

Dettagli

Richiami di Algebra di Commutazione

Richiami di Algebra di Commutazione LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 6-7 Richiami di Algebra di Commutazione In questa

Dettagli

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione.

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. Dispensina per gli studenti di Ingegneria Gestionale. A.A.

Dettagli

Logica Digitale. Fondamenti di Informatica - Prof. Gregorio Cosentino

Logica Digitale. Fondamenti di Informatica - Prof. Gregorio Cosentino Logica Digitale 1 Ma in fondo quali sono i mattoncini che compongono un calcolatore elettronico? Porte Circuiti Aritmetica Memorie Bus I/O And, Or, Nand, Nor, Not Multiplexer, Codif, Shifter, ALU Sommatori

Dettagli

associate ai corrispondenti valori assunti dall uscita.

associate ai corrispondenti valori assunti dall uscita. 1. Definizione di variabile logica. Una Variabile Logica è una variabile che può assumere solo due valori: 1 True (vero, identificato con 1) False (falso, identificato con 0) Le variabili logiche si prestano

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

Architettura dei calcolatori

Architettura dei calcolatori Cos'è un calcolatore? Architettura dei calcolatori Esecutore automatico di algoritmi Macchina universale Elementi di Informatica Docente: Giorgio Fumera Corso di Laurea in Edilizia Facoltà di Architettura

Dettagli

Addizionatori: metodo Carry-Lookahead. Costruzione di circuiti combinatori. Standard IEEE754

Addizionatori: metodo Carry-Lookahead. Costruzione di circuiti combinatori. Standard IEEE754 Addizionatori: metodo Carry-Lookahead Costruzione di circuiti combinatori Standard IEEE754 Addizionatori Il circuito combinatorio che implementa l addizionatore a n bit si basa su 1-bit adder collegati

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

I.3 Porte Logiche. Elisabetta Ronchieri. Ottobre 13, Università di Ferrara Dipartimento di Economia e Management. Insegnamento di Informatica

I.3 Porte Logiche. Elisabetta Ronchieri. Ottobre 13, Università di Ferrara Dipartimento di Economia e Management. Insegnamento di Informatica I.3 Università di Ferrara Dipartimento di Economia e Management Insegnamento di Informatica Ottobre 13, 2015 Argomenti 1 2 3 Elaboratore Hardware È il mezzo con il quale l informazione è elaborata. Software

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Architettura dei sistemi a microprocessore prof. Stefano Salvatori A.A. 2014/2015 Eccetto dove diversamente specificato, i contenuti

Dettagli

Algebra di Boole X Y Z V. Algebra di Boole

Algebra di Boole X Y Z V. Algebra di Boole L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole che

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Algebra di Boole e Circuiti Logici Prof. Christian Esposito Corso di Laurea in Ingegneria Meccanica e Gestionale (Classe I) A.A. 2016/17 Algebra di Boole e Circuiti Logici L Algebra

Dettagli

RETI LOGICHE T. Ingegneria Informatica. Informazioni, programma e materiale didattico

RETI LOGICHE T. Ingegneria Informatica. Informazioni, programma e materiale didattico RETI LOGICHE T Ingegneria Informatica Informazioni, programma e materiale didattico www.vision.deis.unibo.it/corsi/retilogiche Dispense e materiale didattico R. Laschi, M. Prandini: Reti Logiche, Esculapio,

Dettagli

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi. Mercoledì 8 ottobre 2014

Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi. Mercoledì 8 ottobre 2014 Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi Mercoledì 8 ottobre 2014 Notazione scientifica normalizzata La rappresentazione in virgola mobile che adotteremo si basa sulla notazione

Dettagli

Aritmetica dei Calcolatori

Aritmetica dei Calcolatori Aritmetica dei Calcolatori Nicu Sebe March 14, 2016 Informatica Nicu Sebe 1 / 34 Operazioni su Bit Bit Scienza della rappresentazione e dell elaborazione dell informazione Abbiamo visto come i computer

Dettagli

Circuiti Combinatori

Circuiti Combinatori Circuiti Combinatori circuiti combinatori sono circuiti nei quali le uscite dipendono solo dalla combinazione delle variabili logiche presenti nello stesso istante all ingresso Essi realizzano: Operazioni

Dettagli

La "macchina" da calcolo

La macchina da calcolo La "macchina" da calcolo Abbiamo detto che gli algoritmi devono essere scritti in un linguaggio "comprensibile all'esecutore" Se il nostro esecutore è il "calcolatore", questo che linguaggio capisce? che

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione E un caso particolare di algebra booleana. B = Dominio Op1 = AND Vale 1 solo se entrambi gli operandi sono 1 Op2 = OR Vale 0 se entrambi I termini sono zero, altrimenti 1 Op3 =

Dettagli

Elementi di informatica

Elementi di informatica Elementi di informatica Algebra di Boole Algebra di Boole I circuiti logici sono componenti hardware che manipolano informazione binaria. I circuiti di base sono detti PORTE LOGICHE (logical gate). Allo

Dettagli

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali Elettronica dei Sistemi igitali Registri di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max

Dettagli

I circuiti elementari

I circuiti elementari I circuiti elementari Nel lavoro diprogrammazione con il computer si fa largo uso della logica delle proposizioni e delle regole dell algebra delle proposizioni o algebra di Boole. L algebra di Boole ha

Dettagli

Algebra di Boole Algebra di Boole

Algebra di Boole Algebra di Boole 1 L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole

Dettagli

Cosa è l Informatica?

Cosa è l Informatica? Cosa è l Informatica? Scienza degli elaboratori elettronici (Computer Science) Scienza dell informazione Scienza della rappresentazione, memorizzazione, elaborazione e trasmissione dell informazione Elaboratore

Dettagli

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Rappresentazione dei numeri relativi

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Rappresentazione dei numeri relativi Codice BCD Prima di passare alla rappresentazione dei numeri relativi in binario vediamo un tipo di codifica che ha una certa rilevanza in alcune applicazioni: il codice BCD (Binary Coded Decimal). È un

Dettagli

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A Modulo n 1 - Concetti informatici di base 1.1 Introduzione allo studio del computer 1.2 Rappresentazione

Dettagli

Circuiti sequenziali e latch

Circuiti sequenziali e latch Circuiti sequenziali e latch Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano A.A. 23-24 /27 Sommario Circuiti sequenziali Latch asincroni

Dettagli

U 1 . - - . - - Interfaccia. U m

U 1 . - - . - - Interfaccia. U m Introduzione La teoria delle reti logiche tratta problemi connessi con la realizzazione e il funzionamento di reti per l elaborazione dell informazione (il termine logico deriva dalla stretta parentela

Dettagli

Lezione 15. L elaboratore Elettronico

Lezione 15. L elaboratore Elettronico Lezione 15 Architettura di un calcolatore L elaboratore Elettronico Un elaboratore elettronico è una macchina elettronica in grado di elaborare dati secondo le specifiche fornite da un algoritmo Internamente

Dettagli

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1.

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1. PORTE LOGICHE Premessa Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei

Dettagli

Porte logiche in tecnologia CMOS

Porte logiche in tecnologia CMOS Porte logiche in tecnologia CMOS Transistore MOS = sovrapposizione di strati di materiale con proprietà elettriche diverse tra loro (conduttore, isolante, semiconduttore) organizzati in strutture particolari.

Dettagli

Architettura generale del calcolatore Hardware (tutto ciò che e tangibile) Software (tutto ciò che non e tangibile)

Architettura generale del calcolatore Hardware (tutto ciò che e tangibile) Software (tutto ciò che non e tangibile) Architettura generale del calcolatore Hardware (tutto ciò che e tangibile) La macchina di Von Neumann Le periferiche Software (tutto ciò che non e tangibile) Il sistema operativo I programmi applicativi

Dettagli

a.a. 2014/2015 Docente: Stefano Bifaretti

a.a. 2014/2015 Docente: Stefano Bifaretti a.a. 2014/2015 Docente: Stefano Bifaretti email: bifaretti@ing.uniroma2.it Gli schemi circuitali impiegati per la realizzazione dei convertitori statici sono molteplici. Infatti, la struttura del convertitore

Dettagli

Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche

Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche Ing. Gestionale e delle Telecomunicazioni A.A. 27/8 Gabriele Cecchetti Algebra delle reti logiche Sommario: Segnali digitali vs. segnali analogici

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Informatica. 80 ore divise in 4 UFC. Docente: Michela Bacchin. Contatti: Tramite Ermes Studenti

Informatica. 80 ore divise in 4 UFC. Docente: Michela Bacchin. Contatti: Tramite Ermes Studenti Informatica 80 ore divise in 4 UFC Docente: Michela Bacchin Contatti: michela.bacchin.corsi@gmail.com Tramite Ermes Studenti Programma UFC 12: Strumenti per il trattamento dei documenti testuali UFC 13:

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumento di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli

Architettura hardware

Architettura hardware Architettura hardware la parte che si può prendere a calci Architettura dell elaboratore Sistema composto da un numero elevato di componenti, in cui ogni componente svolge una sua funzione elaborazione

Dettagli

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2 Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it

Dettagli

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 Caratteristiche elettriche dei materiali Leggi di Ohm Generatori di tensione e di corrente Resistori in serie e in parallelo Partitori di tensione e di corrente

Dettagli

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli

Tecniche di Progettazione Digitale Elementi di memoria CMOS e reti sequenziali p. 2

Tecniche di Progettazione Digitale Elementi di memoria CMOS e reti sequenziali p. 2 Tecniche di Progettazione igitale Elementi di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Concetti Introduttivi. Il Computer

Concetti Introduttivi. Il Computer Concetti Introduttivi Il Computer Introduzione Informazione Notizia, dato o elemento che consente di avere conoscenza più o meno esatta di fatti, situazioni, modi di essere Messaggio Tutto ciò che porta

Dettagli

MATERIALI PER LA DISCUSSIONE

MATERIALI PER LA DISCUSSIONE SETTORE TECNOLOGICO MATERIALI PER LA DISCUSSIONE ISTITUTO TECNICO INDIRIZZO ARTICOLAZIONE TELECOMUNICAZIONI INFORMATICA E TELECOMUNICAZIONI ESITI DI APPRENDIMENTO Regolamento, Art. 5 comma 1 Nota: Le Competenze,

Dettagli

Circuiti di commutazione, codifica e decodifica

Circuiti di commutazione, codifica e decodifica Circuiti di commutazione, codifica e decodifica Vediamo ora i più comuni circuiti per la codifica, decodifica e commutazione di informazioni rappresentate sotto forma binaria. Tali circuiti costituiscono

Dettagli

Porte logiche A=0 A=1

Porte logiche A=0 A=1 Porte logiche Le Porte logiche sono circuiti combinatori che svolgono funzioni elementari e costituiscono i blocchi fondamentali su cui si basa l Elettronica digitale. Le principali porte sono la ND, la

Dettagli

PIANO DI LAVORO DEI DOCENTI

PIANO DI LAVORO DEI DOCENTI Pag. 1 di 5 Docente: Materia insegnamento: ELETTRONICA GENERALE Dipartimento: Anno scolastico: ELETTRONICA ETR Classe 1 Livello di partenza (test di ingresso, livelli rilevati) Il corso richiede conoscenze

Dettagli

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione CIRCUITI COMBINATORI Un circuito combinatorio (o rete combinatoria) è un insieme interconnesso di porte logiche il cui output, istante per istante dipende unicamente dallo stato che gli ingressi della

Dettagli

RAPPRESENTAZIONE DELLE INFORMAZIONI

RAPPRESENTAZIONE DELLE INFORMAZIONI RAPPRESENTAZIONE DELLE INFORMAZIONI 1 RAPPRESENTAZIONE DELLE INFORMAZIONI Le informazioni gestite dai sistemi di elaborazione devono essere codificate per poter essere memorizzate, elaborate, scambiate,

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

ARCHITETTURA DI UN SISTEMA DI ELABORAZIONE

ARCHITETTURA DI UN SISTEMA DI ELABORAZIONE ARCHITETTURA DI UN SISTEMA DI ELABORAZIONE Il computer o elaboratore è una macchina altamente organizzata capace di immagazzinare, elaborare e trasmettere dati con notevole precisione e rapidità. Schematicamente

Dettagli

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati Il Livello LogicoDigitale i Blocchi funzionali combinatori Circuiti integrati Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati

Dettagli

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana Fondamenti di Informatica per Meccanici Energetici - iomedici 1 Mr. oole lgebra di oole George oole: Matematico inglese del XIX secolo lgebra che descrive le leggi del pensiero Logica da cui è possibile

Dettagli

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche 3.1 LE PORTE LOGICHE E GLI OPERATORI ELEMENTARI 3.2 COMPORTAMENTO A REGIME E IN TRANSITORIO DEI CIRCUITI COMBINATORI I nuovi

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Cosa sono le reti logiche? Fino ad ora abbiamo visto Rappresentazione dell informazione Assembler L obbie:vo di questo corso è mostrare come si proge>o una computer

Dettagli

Concetti di base dell ICT

Concetti di base dell ICT Informatica Linguaggio di programmazione Computer Bit Algoritmo Linguaggio macchina Informatica: Informazione automatica Gli anglosassoni usano il termine Computer Science = Scienza dei Calcolatori Computer:

Dettagli

Esame di Elettronica I 2º compitino 4 Febbraio

Esame di Elettronica I 2º compitino 4 Febbraio Esame di Elettronica I 2º compitino 4 Febbraio 2003 0870061666 Simulazione al calcolatore con PSpice Melzani Yari Matricola: 634009 Crema 12 febbraio 2003 Figura 1: Schema circuitale di una porta OR tracciato

Dettagli

Lezione 1: L hardware

Lezione 1: L hardware Architettura del calcolatore Lezione 1: L hardware Architettura Componenti e funzionamento Il calcolatore è uno strumento programmabile per la rappresentazione, la memorizzazione e l elaborazione delle

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005 Tecnologia CMOS Ing. Ivan lunno 2 aprile 25 Introduzione In questa dispensa verranno presentati i circuiti CMOS (Complementary MOS). Nella prima parte verrà analizzato in dettaglio il funzionamento di

Dettagli

Codice binario. Codice. Codifica - numeri naturali. Codifica - numeri naturali. Alfabeto binario: costituito da due simboli

Codice binario. Codice. Codifica - numeri naturali. Codifica - numeri naturali. Alfabeto binario: costituito da due simboli Codice La relazione che associa ad ogni successione ben formata di simboli di un alfabeto il dato corrispondente è detta codice. Un codice mette quindi in relazione le successioni di simboli con il significato

Dettagli

1 ELEMENTI DI ALGEBRA BOOLEANA I postulati dell'algebra di Boole Alcuni teoremi I teoremi di De Morgan

1 ELEMENTI DI ALGEBRA BOOLEANA I postulati dell'algebra di Boole Alcuni teoremi I teoremi di De Morgan 1 ELEMENTI DI LGER OOLEN... 1 1.1 I postulati dell'lgebra di oole... 1 1.2 lcuni teoremi... 5 1.3 I teoremi di De Morgan... 6 1.4 Esercizi... 8 1.1 1 ELEMENTI DI LGER OOLEN 1.1 I postulati dell'lgebra

Dettagli

FUNZIONI BOOLEANE. Vero Falso

FUNZIONI BOOLEANE. Vero Falso FUNZIONI BOOLEANE Le funzioni booleane prendono il nome da Boole, un matematico che introdusse un formalismo che opera su variabili (dette variabili booleane o variabili logiche o asserzioni) che possono

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti rchitettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff.. orghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

4 STRUTTURE CMOS. 4.1 I componenti CMOS

4 STRUTTURE CMOS. 4.1 I componenti CMOS 4.1 4 STRUTTURE CMOS 4.1 I componenti CMOS Un componente MOS (Metal-Oxide-Silicon) transistor è realizzato sovrapponendo vari strati di materiale conduttore, isolante, semiconduttore su un cristallo di

Dettagli

Macchine combinatorie

Macchine combinatorie Corso di Calcolatori Elettronici I A.A. 2010-2011 Macchine combinatorie Lezione 10 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Analisi e Sintesi di un sistema 1/2 Per analisi di

Dettagli

Descrizione delle operazioni di calcolo. Espressioni costanti semplici

Descrizione delle operazioni di calcolo. Espressioni costanti semplici Descrizione delle operazioni di calcolo Come abbiamo detto l interprete è in grado di generare nuovi valori a partire da valori precedentemente acquisiti o generati. Il linguaggio di programmazione permette

Dettagli

Il processore. Istituzionii di Informatica -- Rossano Gaeta

Il processore. Istituzionii di Informatica -- Rossano Gaeta Il processore Il processore (detto anche CPU, ovvero, Central Processing Unit) è la componente dell unità centrale che fornisce la capacità di elaborazione delle informazioni contenute nella memoria principale

Dettagli

Circuiti sincroni Circuiti sequenziali: i bistabili

Circuiti sincroni Circuiti sequenziali: i bistabili Architettura degli Elaboratori e delle Reti Lezione 8 Circuiti sincroni Circuiti sequenziali: i bistabili Proff. A. Borghese, F. Pedersini ipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo.

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. Compito A Esercizio (2 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S / S 2 / S 3 / S 4 / S 5 / Esercizio 2 (5 punti) Progettare un circuito il cui output

Dettagli

Logica combinatoria. La logica digitale

Logica combinatoria. La logica digitale Logica combinatoria La logica digitale La macchina è formata da porte logiche Ogni porta riceve in ingresso dei segnali binari (cioè segnali che possono essere o ) e calcola una semplice funzione (ND,

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

SECONDO BIENNIO ISTITUTO TECNICO

SECONDO BIENNIO ISTITUTO TECNICO SETTORE TECNOLOGICO DOCUMENTI PER LA DISCUSSIONE ISTITUTO TECNICO INDIRIZZO ARTICOLAZIONE AUTOMAZIONE ELETTRONICA ED ELETTROTECNICA ESITI DI APPRENDIMENTO Regolamento, Art. 5 comma 1 Nota: Le Competenze,

Dettagli

Elettronica digitale: cenni

Elettronica digitale: cenni Elettronica digitale: cenni VERSIONE 30.5.01 Non solo analogico La gestione di informazione prevede tipicamente fasi di elaborazione, in cui occorre calcolare funzioni ( qual è la somma di questi due valori?

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Il blocco amplificatore realizza la funzione di elevare il livello (di tensione o corrente) del segnale (in tensione o corrente) in uscita da una

Il blocco amplificatore realizza la funzione di elevare il livello (di tensione o corrente) del segnale (in tensione o corrente) in uscita da una l blocco amplificatore realizza la funzione di elevare il livello (di tensione o corrente) del segnale (in tensione o corrente) in uscita da una sorgente. Nel caso, come riportato in figura, il segnale

Dettagli

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per:

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: INTRODUZIONE AI CONTATORI Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: o Conteggio di eventi o Divisione di frequenza o Temporizzazioni Principi

Dettagli

Corso di Elementi di Informatica Anno accademico 2015/16

Corso di Elementi di Informatica Anno accademico 2015/16 Corso di Laurea triennale in Ingegneria Navale in condivisione con Corso di Laurea triennale in Ingegneria Chimica (matr. P-Z) Corso di Elementi di Informatica Anno accademico 2015/16 Docente: Ing. Alessandra

Dettagli

Cap. 2 - Rappresentazione in base 2 dei numeri interi

Cap. 2 - Rappresentazione in base 2 dei numeri interi Cap. 2 - Rappresentazione in base 2 dei numeri interi 2.1 I NUMERI INTERI RELATIVI I numeri relativi sono numeri con il segno: essi possono essere quindi positivi e negativi. Si dividono in due categorie:

Dettagli

Il Processore: l unità di controllo

Il Processore: l unità di controllo Il Processore: l unità di controllo La frequenza con cui vengono eseguiti i cicli di esecuzione è scandita da una componente detta clock Ad ogni impulso di clock la UC esegue un ciclo di esecuzione di

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 19 - E - 1: Comparatori di soglia Comparatori con isteresi Circuiti misti analogici

Dettagli

Flip flop: tempificazione latch ed edge-triggered

Flip flop: tempificazione latch ed edge-triggered Corso di Calcolatori Elettronici I A.A. 2010-2011 Flip flop: tempificazione latch ed edge-triggered Lezione 23-26 Università degli Studi di Napoli Federico II Facoltà di Ingegneria I flip flop - 1 Generalità

Dettagli

Rappresentazione dei dati in memoria

Rappresentazione dei dati in memoria Rappresentazione dei dati in memoria La memoria Una memoria deve essere un insieme di oggetti a più stati. Questi oggetti devono essere tali che: le dimensioni siano limitate il tempo necessario per registrare

Dettagli

I circuiti logici: definizione delle funzioni logiche

I circuiti logici: definizione delle funzioni logiche I circuiti logici: definizione delle funzioni logiche Prof. lberto orghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimenti al testo: ppendice C, sezioni C.1

Dettagli

SECONDO BIENNIO ISTITUTO TECNICO ELETTRONICA ED ELETTROTECNICA

SECONDO BIENNIO ISTITUTO TECNICO ELETTRONICA ED ELETTROTECNICA SETTORE TECNOLOGICO ISTITUTO TECNICO INDIRIZZO ELETTRONICA ED ELETTROTECNICA ARTICOLAZIONE ELETTRONICA ESITI DI APPRENDIMENTO (competenze, abilità, conoscenze) Regolamento, Art.5, comma 1 Nota: Le Competenze,

Dettagli

Algebra di Commutazione

Algebra di Commutazione Algebra di Commutazione Maurizio Palesi Maurizio Palesi 1 Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere: Un modello che permette di rappresentare insiemi di numeri

Dettagli

Lez. 4 L hardware. Prof. Pasquale De Michele Gruppo 2

Lez. 4 L hardware. Prof. Pasquale De Michele Gruppo 2 Lez. 4 L hardware 1 Dott. Pasquale De Michele Dipartimento di Matematica e Applicazioni Università di Napoli Federico II Compl. Univ. Monte S.Angelo Via Cintia, I-80126, Napoli pasquale.demichele@unina.it

Dettagli

Sistemi di numerazione

Sistemi di numerazione SOMMARIO Sistemi di numerazione...2 Sistema decimale (o a base 10)...2 Sistema binario...2 Operazioni sui numeri binari...3 Espressioni logiche...4 Definizione...4 Prodotto Logico : AND...4 Somma Logica

Dettagli

A.S. 2015/16 CLASSE 5 AEE MATERIA: T.P.S.E. UNITA DI APPRENDIMENTO 1: AMPLIFICATORI OPERAZIONALI

A.S. 2015/16 CLASSE 5 AEE MATERIA: T.P.S.E. UNITA DI APPRENDIMENTO 1: AMPLIFICATORI OPERAZIONALI A.S. 2015/16 CLASSE 5 AEE MATERIA: T.P.S.E. UNITA DI APPRENDIMENTO 1: AMPLIFICATORI OPERAZIONALI Essere capace di progettare le principali configurazioni circuitali con op-amp. Applicare i fondamentali

Dettagli