Reti Logiche A Esame del 24 febbraio 2006

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Reti Logiche A Esame del 24 febbraio 2006"

Transcript

1 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche A Esame del 4 febbraio 006 Matricola Cognome Nome Istruzioni Scrivere solo sui fogli distribuiti. Non separare questi fogli. È vietato portare all esame libri, eserciziari, appunti e calcolatrici. Chiunque venga trovato in possesso di documentazione relativa al corso anche se non strettamente attinente alle domande proposte vedrà annullata la propria prova. Non è possibile lasciare l aula conservando il tema della prova in corso. Tempo a disposizione: h:30m. Esercizio (5 punti) Esercizio (6 punti) Esercizio 3 (3 punti) Esercizio 4 (5 punti) Esercizio 5 (5 punti) Esercizio 6 (5 punti) Esercizio 7 (3 punti) Si trovi una copertura minima utilizzando il metodo di Quine McCluskey escrivere ogni singolo passo svolto per arrivare alla soluzione nella sequenza di applicazione b) Si consideri la sola funzione di uscita F del punto a) dell esercizio con l ulteriore specifica del C-set = (m, m, m) Sulla mappa di Karnaugh individuare gli implicanti primi riportandone la forma algebrica e separando gli implicanti primi da quelli primi ed essenziali. Ricavare tutte le forme minime scegliendo una opportuna copertura della funzione sulla mappa, che minimizzi il numero di implicanti utilizzati ed il numero di letterali. Se è il caso, giustificare formalmente il fatto che la copertura sia o meno unica. Ricavare il costo della copertura ottenuta, utilizzando come costo il numero di letterali. Soluzione: a) F essenziale per F, F scelto, costo di F a : F= F + ; L essenziale per F, L scelto, costo di L a : F= L + ; L essenziale per F3, L scelto: F3= L + ; essenziale per F, scelto, costo di a : F= L + coperta In F m0 è dominato da m8 In F3 m è dominato da m0 C domina A C pseudoessenziale per F3, C Scelto, costo di C a, F3=L+C+.. G domina E G domina I G pseudoessenziale per F3, G Scelto, costo di G a, F3=L+C+G coperta Con Soluzioni Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina di

2 H domina B H pseudoessenziale per F, H scelta, F= F + H b) per F implicanti primi e esenziali: 0,,8,0 essenziale= b d 7,5 essenziale= bcd,5 primo= acd 0, primo= ab c 8, primo= ac d Copertura unica perché costituita da tutti e soli implicanti essenziali. Costo della copertura = 5 Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina 3 di Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina 4 di

3 Esercizio n. Sia data una macchina sequenziale sincrona con ingressi (a, b, c, d) e uscita (Y), la cui rete combinatoria che realizza le funzioni λ (uscita) e δ (stato prossimo) è rappresentata dalla seguente rete multilivello: + = acq cd Q + = c + aqq + aq Q QQ ove Q e Q rappresentano le variabili stato presente L espressione associata a Y costituisce la funzione λ Le espressioni associate a e costituiscono la funzione δ e sono nodi intermedi Y = Q Q + d = ab + a b + Q( abq + a + = abc + bcd + Q c Applicare in sequenza alla rete multilivello le trasformazioni sotto indicate e rispondere alle domande dove richiesto. isegnare anche il modello della rete finale. Nota Bene: per ogni trasformazione è obbligatorio riportare il risultato della trasformazione e mostrare chiaramente tutti i passaggi effettuati per ottenere il risultato stesso. a) COST( ): Calcolo del numero di letterali. La funzione COST() calcola il costo in letterali indipendentemente dalla forma (SOP o Multilivello) delle espressioni algebriche dei nodi. b) SIMPLIFY(): Minimizzazione a due livelli di. c) SIMPLIFY(): Minimizzazione a due livelli di. d) FACTOR(): Fattorizzazione del nodo. e) COST( ): Calcolo del numero di letterali. f) SUBSTITUTE(): inserisce in tutti i nodi della rete, dove è possibile. La trasformazione ha effetto se produce un guadagno in termini di letterali. g) COST( ): Calcolo del numero di letterali. h) [3] = EXTRACT(, ): Estrazione di un fattore comune a e. Il nodo 3 derivato dall estrazione può essere un nuovo nodo o un nodo già presente nella rete. i) COST( ): Calcolo del numero di letterali. Soluzione: ) = ab + a b + aq b + aq Q = ab + a b + aq b c) SIMPLIFY(): Minimizzazione a due livelli di. il risultato della minimizzazione è = c + Q( aq + aq + Q) ( ) = c + Q aq + a + Q = c + Q( Q + a + Q) = c + Q( a + ) = c + Q() = c + Q d) FACTOR(): Fattorizzazione del nodo. = ( ac + cd ) Q e) COST( ): 9 f) SUBSTITUTE(): inserisce in tutti i nodi della rete, dove è possibile. La trasformazione ha effetto se produce un guadagno in termini di letterali. L unico nodo che presenta già come termine (non è quindi necessario eseguire la divisione algebrica) è. L espressione di diventa: = abc + bcd + e il costo del nodo (l unico modificato nella rete) diminuisce di 4 letterali. Quindi la trasformazione ha effetto. g) COST( ): 7 h) [3] = EXTRACT(, ): Estrazione di un fattore comune a e. Il nodo 3 derivato dall estrazione può essere un nuovo nodo o un nodo già presente nella rete. 3 = ac + cd = 3Q = b3 + i) COST( ): 4 I) La macchina è una macchina di Mealy poiché l uscita dipende anche dagli ingressi, oltre che dallo stato presente. a) COST( ): 39. b) SIMPLIFY(): Minimizzazione a due livelli di. Tramite mappe di Karnaugh o manipolazione algebrica ottima, il risultato della minimizzazione è = ab + a b + Q( bq + a) = ab + a b + Q( bq ) a = ab + a b + Q( b + Q ) a Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina 5 di Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina 6 di

4 Esercizio n. 3 ati due numeri decimali A=6.375 B=5.5 Fornire la codifica completa in virgola mobile a singola precisione di A e B. Effettuare la somma A+B indicando tutti i passaggi relativi sia alla codifica che alla somma. Soluzione 6,3750 = ,50 = enormalizzo A e sommo: = La codifica normalizzata e.50 = Esercizio n. 4 ata la tabella degli stati di seguito riportata si calcoli la tabella degli stati della macchina ridotta, mostrando i passaggi fatti (analisi di compatibilità, classi di compatibilità massima e prima, copertura minima e tabella degli stati ridotta). È necessario adottare algoritmi, non è consentito risolvere il problema a occhio. Soluzione A -/- -/- E/ -/- B C/0 A/ B/0 -/- C C/0 / -/- A/0 -/- E/ B/- -/- E B/0 -/- C/- B/0 Analisi di compatibilità: AB non compatibili, AC, A, AE compatibili senza vincoli BC se A B se AE BE se BC C se E CE non compatibili E se BC Classi di compatibilità MASSIME AC (se E, BE) AE (se BC) BC (se A, E, AE) BE (se BC, AE) Classi di compatibilità PRIME e vincoli per ricerca copertura AC (E) AE (BC) BC (AE) BE (BC, AE) AC A AE BC (A) B (AE) C (E) BE (BC) B COPERTURA Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina 7 di Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina 8 di

5 Esercizio n. 5 Identificare la tabella degli stati della macchina di Mealy minima in grado di realizzare la funzionalità seguente. Il circuito riceve in ingresso un segnale A ed ha un'uscita Z. Una volta ricevuti in ingresso su A due 0 consecutivi, il circuito - fintanto che il segnale su A rimane a 0 - presenta in uscita ciclicamente la sequenza 00 (vedi esempio). Non appena il segnale su A assume valore, il circuito presenta in uscita fino alla prossima sequenza di interesse, cioè fino a quando ha ricevuto due 0 consecutivi su A. Esempio A Z (dove il simbolo. significa che l'uscita dipende dalle specifiche complete indicate per il circuito) SOLUZIONE La tabella degli stati della macchina ridotta è la seguente 0 S0 S,0 S, S S,0 S, S S3, S, S3 S0, S, Esercizio n. 6 ate le seguenti specifiche per un contatore:. il ciclo di conteggio per gli stati utili è quello riportato in tabella ABC Il contatore - per ogni configurazione non utile - deve portarsi allo stato utile la cui configurazione ha un valore binario utile precedente a quella non utile considerata. Così ad esempio dalla configurazione non utile 000 il contatore si porta alla configurazione utile Per il contatore così specificato: Generarne la tabella di eccitazione utilizzando come target i flip-flop di tipo SR; Sintetizzare le funzione Sa e Ra del primo flip-flop. Soluzione SA = B C + A B C + BC RA = BC + AB C Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina 9 di Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina 0 di

6 Esercizio n. 7 ata la seguente descrizione di circuito in HL library ieee; use ieee.std_logic_64.all; use ieee.std_logic_arith.all; entity ent is port( din : in std_logic; sel : in std_logic; clk, rst : in std_logic; dout : out std_logic ); end ent; architecture BEH of ent is signal s0, s, s : std_logic; P : process (clk) if( clk = '' and clk'event ) then if ( rst='' ) then s0 <= '0'; else s0 <= din; end process; P: process( s0, s, sel ) if ( sel='0' ) then s <= s0; else s <= s; end process; P3 : process (clk) if( clk = '' and clk'event ) then if ( rst='' ) then s <= '0'; else s <= s; end process; dout <= s0 or s; end BEH; a) isegnare un circuito composto da componenti elementari (porte logiche, multiplexer, bistabili) che implementi il modello HL proposto Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina di Reti Logiche A Esame del 4 febbraio 006 Esercizio n. -- pagina di

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone alcolatori ElettroniciIngegneria Telematica Sintesi di Reti Sequenziali Sincrone na macchina sequenziale è definita dalla quintupla δ, λ) dove: I è l insieme finito

Dettagli

Esercizi. 1. Algebra booleana

Esercizi. 1. Algebra booleana Esercizi 1. Algebra booleana Esercizio 1.1 Data le forma logica F = (z(xy ) +x+yz ) +x y Svolgere i seguenti punti: Applicare le regole dell algebra per semplificare la forma riducendo il numero dei prodotti

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello. livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello. livello Minimizzazione di Reti Logiche Combinatorie Multi-livello livello Maurizio Palesi Maurizio Palesi 1 Introduzione I circuiti logici combinatori sono molto spesso realizzati come reti multi-livello di porte

Dettagli

Algebra di Boole: minimizzazione di funzioni booleane

Algebra di Boole: minimizzazione di funzioni booleane Corso di Calcolatori Elettronici I A.A. 200-20 Algebra di Boole: minimizzazione di funzioni booleane Lezione 8 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Forme Ridotte p Vantaggi

Dettagli

Prova scritta del 14 Luglio 2009 (secondo appello)

Prova scritta del 14 Luglio 2009 (secondo appello) A.A. 2008-2009 - Corso di Teoria dei Circuiti Digitali Docente: Prof. Simone Buso Prova scritta del 4 Luglio 2009 (secondo appello) Cognome e nome: Matricola: Risolvere i seguenti problemi, indicando le

Dettagli

Sintesi di reti logiche multilivello. Sommario. Motivazioni. Sommario. M. Favalli

Sintesi di reti logiche multilivello. Sommario. Motivazioni. Sommario. M. Favalli Sommario Sintesi di reti logiche multilivello M. Favalli Engineering Department in Ferrara 1 2 3 Aspetti tecnologici Sommario (ENDIF) Reti logiche 1 / 36 Motivazioni (ENDIF) Reti logiche 2 / 36 1 2 3 Aspetti

Dettagli

Algebra di Boole. Tavole di verità. Fondamenti di Informatica Algebra di Boole. Si basa su tre operazioni logiche: AND (*) OR (+) NOT (!

Algebra di Boole. Tavole di verità. Fondamenti di Informatica Algebra di Boole. Si basa su tre operazioni logiche: AND (*) OR (+) NOT (! Fondamenti di Informatica Algebra di Boole Prof.ssa Enrica Gentile Informatica e Comunicazione Digitale a.a. 2-22 Algebra di Boole Si basa su tre operazioni logiche: AND (*) OR () NOT (!) Gli operandi

Dettagli

Prova d esame di Reti Logiche T 10 Giugno 2016

Prova d esame di Reti Logiche T 10 Giugno 2016 Prova d esame di Reti Logiche T 10 Giugno 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

A.A. 2003/2004 Esercizi di Reti Logiche A

A.A. 2003/2004 Esercizi di Reti Logiche A A.A. 2003/2004 Esercizi di Reti Logiche A A cura di F. Ferrandi, C. Silvano Ultimo aggiornamento, 11 novembre 2003 Questi appunti sono stati possibili anche per il lavoro fatto da alcuni studenti del corso

Dettagli

Metodo di Quine- McCluskey

Metodo di Quine- McCluskey Metodo di Quine- McCluskey Maurizio Palesi Maurizio Palesi Definizioni Date due funzioni f(x,x 2,,x n ) e g(x,x 2,,x n ) si dice che f copre g (oppure g implica f) e si scrive f g se f(x,x 2,,x n )= quando

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Lezione 11 -- 19/1/2012 Reti Logiche: esercizi sulle le reti combinatorie Emiliano Casalicchio emiliano.casalicchio@uniroma2.it Argomenti della lezione Reti combinatorie Decoder,

Dettagli

Reti Combinatorie: sintesi

Reti Combinatorie: sintesi Reti Combinatorie: sintesi Sintesi di reti combinatorie Una rete combinatoria realizza una funzione di commutazione Data una tabella di verità è possibile ricavare più espressioni equivalenti che la rappresentano.

Dettagli

Richiami di Algebra di Commutazione

Richiami di Algebra di Commutazione LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 6-7 Richiami di Algebra di Commutazione In questa

Dettagli

Corso di Calcolatori Elettronici I

Corso di Calcolatori Elettronici I Corso di Calcolatori Elettronici I Algebra di Boole: minimizzazione di funzioni booleane Roberto Canonico Università degli Studi di Napoli Federico II A.A. 2014-2015 Roberto Canonico Corso di Calcolatori

Dettagli

Sintesi di una rete combinatoria

Sintesi di una rete combinatoria Mappe di Karnaugh Sintesi di una rete combinatoria Offrono uno strumento per esprimere una funzione booleana f: {0,1}n {0,1} in una forma SP o PS minima. Invece della tabella di definizione si impiegano

Dettagli

Ottimizzazione delle reti combinatorie

Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie L ottimizzazione di un circuito comporta normalmente un compromesso tra: Prestazioni (ritardo di propagazione) Area (o costo)

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello Minimizzazione di Reti Logiche Combinatorie Multi-livello Maurizio Palesi Maurizio Palesi 1 Introduzione I circuiti logici combinatori sono molto spesso realizzati come reti multi-livello di porte logiche

Dettagli

Addizionatori: metodo Carry-Lookahead. Costruzione di circuiti combinatori. Standard IEEE754

Addizionatori: metodo Carry-Lookahead. Costruzione di circuiti combinatori. Standard IEEE754 Addizionatori: metodo Carry-Lookahead Costruzione di circuiti combinatori Standard IEEE754 Addizionatori Il circuito combinatorio che implementa l addizionatore a n bit si basa su 1-bit adder collegati

Dettagli

Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche

Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche Ing. Gestionale e delle Telecomunicazioni A.A. 27/8 Gabriele Cecchetti Algebra delle reti logiche Sommario: Segnali digitali vs. segnali analogici

Dettagli

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo.

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. Compito A Esercizio (2 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S / S 2 / S 3 / S 4 / S 5 / Esercizio 2 (5 punti) Progettare un circuito il cui output

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Lezione 2 Reti Logiche: Sintesi Emiliano Casalicchio emiliano.casalicchio@uniroma2.it Argomenti della lezione q Reti combinatorie Sintesi, Mappe Karnaugh Esercizi 2 Sintesi di reti

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

Automi a stati finiti

Automi a stati finiti 1. Automi a stati finiti: introduzione Automi a stati finiti Supponiamo di avere un sistema che si può trovare in uno stato appartenente ad un insieme finito di stati possibili. Ex: Immaginiamo un incrocio

Dettagli

1. Automi a stati finiti: introduzione

1. Automi a stati finiti: introduzione 1. Automi a stati finiti: introduzione Supponiamo di avere un sistema che si può trovare in uno stato appartenente ad un insieme finito di stati possibili. Ex: Immaginiamo un incrocio tra due strade regolate

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello Minimizzazione di Reti Logiche Combinatorie Multi-livello Maurizio Palesi Maurizio Palesi 1 Introduzione Obiettivo della sintesi logica: ottimizzazione delle cifre di merito area e prestazioni Prestazioni:

Dettagli

Introduzione - Modello. Introduzione - progetto e strumenti

Introduzione - Modello. Introduzione - progetto e strumenti intesi equenziale incrona intesi Comportamentale di reti equenziali incrone di Macchine enza Processo di Ottimizzate a Livello Comportamentale Introduzione intesi comportamentale e architettura generale

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Informatica A a.a. 2010/ /02/2011

Informatica A a.a. 2010/ /02/2011 Politecnico di Milano Dipartimento di Elettronica e Informazione Informatica A a.a. 2010/2011 23/02/2011 Cognome Matricola Nome Firma dello studente Istruzioni Non separate questi fogli. Scrivete la soluzione

Dettagli

Sistemi Combinatori & Mappe di Karnaugh

Sistemi Combinatori & Mappe di Karnaugh Sistemi Combinatori & Mappe di Karnaugh AB E=0 F=0 E=1 F=0 00 01 11 10 AB 00 01 11 10 00 1 0 0 0 00 0 0 0 0 01 0 0 0 0 01 0 0 0 0 11 0 0 1 0 11 0 0 1 0 10 0 0 0 1 10 0 0 0 1 AB 00 01 11 10 AB 00 01 11

Dettagli

Esercitazioni di Reti Logiche. Algebra Booleana e Porte Logiche

Esercitazioni di Reti Logiche. Algebra Booleana e Porte Logiche Esercitazioni di Reti Logiche Algebra Booleana e Porte Logiche Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico 2007/2008 Notizie Il primo parziale

Dettagli

Le mappe di Karnaugh

Le mappe di Karnaugh Le mappe di Karnaugh Le semplificazioni di una funzione logica possono essere effettuate mediante i teoremi dell'algebra di Boole. Esiste però un metodo molto più pratico di semplificazione che quello

Dettagli

Compito A. Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati

Compito A. Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati Compito A Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati stato/input x=0 x=1 A B/0 A/0 B C/0 A/0 C B/0 D/1 D B/0 E/0 E B/0 D/1 Esercizio 2. (17 punti) Realizzare

Dettagli

Architetture degli Elaboratori I II Compito di Esonero (A) - 16/1/1997

Architetture degli Elaboratori I II Compito di Esonero (A) - 16/1/1997 1 II Compito di Esonero (A) - 16/1/1997 Non è ammessa la consultazione di nessun testo, nè l utilizzo di nessun tipo di calcolatrice. Ogni esercizio riporta, fra parentesi, il suo valore in trentesimi

Dettagli

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno Progetto di Contatori sincroni Mariagiovanna Sami Corso di reti Logiche 8 Anno 08 Introduzione Per le reti sequenziali esistono metodologie di progettazione generali, che partendo da una specifica a parole

Dettagli

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione.

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione. Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 16 Novembre 011 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene 4

Dettagli

Spazio riservato ai docenti

Spazio riservato ai docenti Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 25 Novembre 2015 COGNOME E NOME RIGA COLONNA MATRICOLA Tema A Spazio riservato ai docenti Il presente plico contiene

Dettagli

Il presente plico contiene 3 esercizi e deve essere debitamente compilato con cognome e nome, numero di matricola.

Il presente plico contiene 3 esercizi e deve essere debitamente compilato con cognome e nome, numero di matricola. Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Appello 1 settembre 2014 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene 3 esercizi e

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Cosa sono le reti logiche? Fino ad ora abbiamo visto Rappresentazione dell informazione Assembler L obbie:vo di questo corso è mostrare come si proge>o una computer

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI SEQUENZIALI : ESERCIZI Massimiliano Giacomin 1 Implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente il suo stato secondo una regola

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Architetture aritmetiche

Architetture aritmetiche Architetture aritmetiche Sommatori: : Full Adder, Ripple Carry Sommatori: Carry Look-Ahead Ahead, Carry Save, Add/Subtract Moltiplicatori: Combinatori, Wallace,, Sequenziali Circuiti per aritmetica in

Dettagli

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1.

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1. PORTE LOGICHE Premessa Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei

Dettagli

Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR

Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR Corso di Calcolatori Elettronici I A.A. 2010-2011 Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR Lezione 7 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Funzioni Equivalenza

Dettagli

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli

Il presente plico contiene 3 esercizi e deve essere debitamente compilato con cognome e nome, numero di matricola.

Il presente plico contiene 3 esercizi e deve essere debitamente compilato con cognome e nome, numero di matricola. Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Appello 30 giugno 04 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene 3 esercizi e deve

Dettagli

Minimizzazione del costo di reti livelli a 2 livelli tramite mappe di Karnaugh. Mappe di Karnaugh. Sommario. Sommario. M. Favalli

Minimizzazione del costo di reti livelli a 2 livelli tramite mappe di Karnaugh. Mappe di Karnaugh. Sommario. Sommario. M. Favalli Sommario Minimiaione del costo di reti livelli a 2 livelli tramite mappe di Karnaugh Rappresentaione grafica di funioni M. Favalli Engineering Department in Ferrara 2 Mappe di Karnaugh 3 Copertura 4 Funioni

Dettagli

Tecniche di Progettazione Digitale. Reti combinatorie: Le mappe di Karnaugh

Tecniche di Progettazione Digitale. Reti combinatorie: Le mappe di Karnaugh Tecniche di Progettazione Digitale Reti cominatorie: Le mappe di Karnaugh Valentino Lierali Mappe di Karnaugh (1) Una unzione ooleana di n it ha come dominio l insieme costituito da tutte le possiili n-ple

Dettagli

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh. Mariagiovanna Sami a.a.

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh. Mariagiovanna Sami a.a. Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh Mariagiovanna Sami a.a. 2007-2008 2008 livelli: Introduzione Le reti combinatorie mostrano in ogni istante

Dettagli

Esercizio sugli automi di Moore

Esercizio sugli automi di Moore Esercizio sugli automi di Moore 1. Sintesi di un automa di Moore: Gestione di un sistema di inscatolamento. Si vuole costruire una rete sequenziale che controlli un sistema di inscatolamento. Braccio1

Dettagli

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni FACOLTA : INGEGNERIA CORSO DI LAUREA: INFORMATICA INSEGNAMENTO: CONTROLLI DIGITALI Modulo 1 NOME DOCENTE: Prof. Giovanni Fedecostante indirizzo e-mail: giovanni.fedecostante@uniecampus.it orario ricevimento

Dettagli

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione.

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione. Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Appello del 18 luglio 2012 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene 3 esercizi

Dettagli

Esercizi svolti Y Z. 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari AND, OR, NOT.

Esercizi svolti Y Z. 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari AND, OR, NOT. Esercizi svolti 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari ND, OR, NOT. a) F= b) F= F= 2. Date le seguenti funzioni logiche ricavare le

Dettagli

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali Titolo lezione Fondamenti di informatica II 1 Sintesi di reti logiche sequenziali Reti combinatorie e sequenziali Fondamenti di informatica II 2 Due sono le tipologie di reti logiche che studiamo Reti

Dettagli

Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti) (3 punti)

Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti) (3 punti) Cognome e Nome: Matr.: Architettura degli Elaboratori Inf A 14 febbraio 2013 Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti)

Dettagli

Circuiti di commutazione, codifica e decodifica

Circuiti di commutazione, codifica e decodifica Circuiti di commutazione, codifica e decodifica Vediamo ora i più comuni circuiti per la codifica, decodifica e commutazione di informazioni rappresentate sotto forma binaria. Tali circuiti costituiscono

Dettagli

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene

Dettagli

UNIVERSITÀ DEGLI STUDI ROMA TRE Corso di Studi in Ingegneria Informatica Ricerca Operativa 1 Seconda prova intermedia 17 giugno 2013

UNIVERSITÀ DEGLI STUDI ROMA TRE Corso di Studi in Ingegneria Informatica Ricerca Operativa 1 Seconda prova intermedia 17 giugno 2013 A UNIVERSITÀ DEGLI STUDI ROMA TRE Corso di Studi in Ingegneria Informatica Ricerca Operativa Seconda prova intermedia 7 giugno 0 Nome: Cognome: Matricola: Orale /06/0 ore aula N Orale 0/07/0 ore aula N

Dettagli

Algebra di Boole X Y Z V. Algebra di Boole

Algebra di Boole X Y Z V. Algebra di Boole L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole che

Dettagli

Esercizio sugli automi di Moore

Esercizio sugli automi di Moore Esercizio sugli automi di Moore 1. Realizzazione tramite MSF di una macchinetta del caffè Supponiamo di voler modellare tramite un automa astati finiti di Moore una macchinetta del caffè che rilascia un

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Algebra booleana e circuiti logici slide a cura di Salvatore Orlando, Andrea Torsello, Marta Simeoni Algebra & Circuiti Elettronici I computer operano con segnali elettrici con valori di potenziale discreti!

Dettagli

Macchine sequenziali. Automa a Stati Finiti (ASF)

Macchine sequenziali. Automa a Stati Finiti (ASF) Corso di Calcolatori Elettronici I Macchine sequenziali Prof. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Informazione Corso

Dettagli

Addizione tra numeri binari

Addizione tra numeri binari Addizione tra numeri binari A=a n-1 a n-2...a i...a 0 B=b n-1 b n-2...b i...b 0 s i =a i b i c in c out =a i b i + a i c in + b i c in a i b i FA c out c in S=s n s n-1 s n-2...s i...s 0 s i a n 1 b n

Dettagli

1 Minimizzazione di espressioni logiche con le proprietà dell algebra

1 Minimizzazione di espressioni logiche con le proprietà dell algebra 1 Minimizzazione di espressioni logiche con le proprietà dell algebra di Boole 1.1 Esercizi con soluzione Esercizio 1.1 - Data la seguente funzione F: F = a bcd + abcd + ab cd + a bc d 1. Utilizzando le

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Algebra di Boole. Modulo 2. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Algebra di Boole. Modulo 2. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Algebra di Boole Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Algebra di Boole L algebra di Boole o della commutazione è lo strumento

Dettagli

Calcolo numerico e programmazione Rappresentazione dei numeri

Calcolo numerico e programmazione Rappresentazione dei numeri Calcolo numerico e programmazione Rappresentazione dei numeri Tullio Facchinetti 16 marzo 2012 10:54 http://robot.unipv.it/toolleeo Rappresentazione dei numeri nei calcolatori

Dettagli

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A Modulo n 1 - Concetti informatici di base 1.1 Introduzione allo studio del computer 1.2 Rappresentazione

Dettagli

Algebra di Boole Algebra di Boole

Algebra di Boole Algebra di Boole 1 L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole

Dettagli

Elementi di Informatica e Programmazione PPING 20 aprile 2010 Non saranno corretti elaborati scritti confusamente o con grafia difficilmente leggibile

Elementi di Informatica e Programmazione PPING 20 aprile 2010 Non saranno corretti elaborati scritti confusamente o con grafia difficilmente leggibile Non saranno corretti elaborati scritti confusamente o con grafia difficilmente leggibile Cognome: Nome: Matricola: 2 Punti 1. Dato il numero binario 11100.101: (a) fornire la sua rappresentazione ottale;

Dettagli

Cap. 2 - Rappresentazione in base 2 dei numeri interi

Cap. 2 - Rappresentazione in base 2 dei numeri interi Cap. 2 - Rappresentazione in base 2 dei numeri interi 2.1 I NUMERI INTERI RELATIVI I numeri relativi sono numeri con il segno: essi possono essere quindi positivi e negativi. Si dividono in due categorie:

Dettagli

Sintesi Sequenziale Sincrona. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Sintesi Sequenziale Sincrona. Mariagiovanna Sami Corso di reti Logiche 8 Anno Sintesi Sequenziale Sincrona Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-2007- Introduzione Le uscite di un circuito sequenziale in un dato istante di tempo t dipendono: Dalla condizione iniziale

Dettagli

Algebra Booleana, Funzioni Logiche e Circuiti Logici

Algebra Booleana, Funzioni Logiche e Circuiti Logici Algebra Booleana, Funzioni Logiche e Circuiti Logici Esercizio 1 Si scriva, utilizzando gli operatori booleani AND, OR, NOT, la funzione booleana che riceve in ingresso un numero binario puro su 3 bit

Dettagli

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 2011-2012 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 12 Prof. Antonio Pescapè Università degli Studi di Napoli Federico II Facoltà

Dettagli

Funzioni booleane. Vitoantonio Bevilacqua.

Funzioni booleane. Vitoantonio Bevilacqua. Funzioni booleane Vitoantonio Bevilacqua bevilacqua@poliba.it Sommario. Il presente paragrafo si riferisce alle lezioni del corso di Fondamenti di Informatica e Laboratorio di Informatica dei giorni 9

Dettagli

DESCRIZIONE DEL FUNZIONAMENTO

DESCRIZIONE DEL FUNZIONAMENTO I FLIP FLOP 1.1. Flip Flop Set Reset In figura è rappresentato un f/f set reset con porte NAND. Si tratta del blocco fondamentale alla base di tutti i tipi di F/F. Tabella di verità del Flip Flop Set Reset

Dettagli

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene

Dettagli

MATEMATICA GENERALE Prova d esame del 23 maggio FILA A

MATEMATICA GENERALE Prova d esame del 23 maggio FILA A MATEMATICA GENERALE Prova d esame del 23 maggio 2016 - FILA A Nome e cognome Matricola Gli studenti che hanno superato il test del Progetto Corda nel 2015 NON devono rispondere ai quesiti della I parte.

Dettagli

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico ISTITUTO TECNICO STATALE MARCHI FORTI Viale Guglielmo Marconi n 16-51017 PESCIA (PT) - ITALIA PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico Docente PARROTTA GIOVANNI

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

I.3 Porte Logiche. Elisabetta Ronchieri. Ottobre 13, Università di Ferrara Dipartimento di Economia e Management. Insegnamento di Informatica

I.3 Porte Logiche. Elisabetta Ronchieri. Ottobre 13, Università di Ferrara Dipartimento di Economia e Management. Insegnamento di Informatica I.3 Università di Ferrara Dipartimento di Economia e Management Insegnamento di Informatica Ottobre 13, 2015 Argomenti 1 2 3 Elaboratore Hardware È il mezzo con il quale l informazione è elaborata. Software

Dettagli

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana Fondamenti di Informatica per Meccanici Energetici - iomedici 1 Mr. oole lgebra di oole George oole: Matematico inglese del XIX secolo lgebra che descrive le leggi del pensiero Logica da cui è possibile

Dettagli

Introduzione alla programmazione Esercizi risolti

Introduzione alla programmazione Esercizi risolti Esercizi risolti 1 Esercizio Si determini se il diagramma di flusso rappresentato in Figura 1 è strutturato. A B C D F E Figura 1: Diagramma di flusso strutturato? Soluzione Per determinare se il diagramma

Dettagli

Metronomo. Progettare l hardware del metronomo, utilizzando come base dei tempi un modulo generatore di clock a 10 MHz. Fig. 1. Fig. 2.

Metronomo. Progettare l hardware del metronomo, utilizzando come base dei tempi un modulo generatore di clock a 10 MHz. Fig. 1. Fig. 2. Metronomo Un metronomo elettronico (Fig. 1) accetta in ingresso tre cifre decimali codificate in BCD per la programmazione del numero di da 16 a 299, e produce in, come, un treno di 8 impulsi spaziati

Dettagli

Elettronica I Amplificatore operazionale ideale; retroazione; stabilità

Elettronica I Amplificatore operazionale ideale; retroazione; stabilità Elettronica I Amplificatore operazionale ideale; retroazione; stabilità Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione E un caso particolare di algebra booleana. B = Dominio Op1 = AND Vale 1 solo se entrambi gli operandi sono 1 Op2 = OR Vale 0 se entrambi I termini sono zero, altrimenti 1 Op3 =

Dettagli

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione CIRCUITI COMBINATORI Un circuito combinatorio (o rete combinatoria) è un insieme interconnesso di porte logiche il cui output, istante per istante dipende unicamente dallo stato che gli ingressi della

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti rchitettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff.. orghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli