Matematica Computazionale Lezione 4: Algebra di Commutazione e Reti Logiche

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Matematica Computazionale Lezione 4: Algebra di Commutazione e Reti Logiche"

Transcript

1 Matematica Computazionale Lezione 4: Algebra di Commutazione e Reti Logiche Docente: Michele Nappi mnappi@unisa.it

2 ALGEBRA DI COMMUTAZIONE Lo scopo di questa algebra nota anche come logica booleana dal matematico inglese Boole è fornire strumenti per la modellizzazione e la trattazione di dispositivi funzionanti con segnali a due valori, cioè dispositivi basati su logica binaria. Mediante l algebra di commutazione, è possibile effettuare una progettazione logica dei dispositivi, trascurando il modo in cui verranno fisicamente realizzati, quindi anche il tipo (tensione, corrente, ma anche posizione meccanica di un commutatore, ecc.) e il valore quantitativo di grandezza fisica che sarà utilizzata per rappresentare tali segnali. Nel seguito del capitolo, assumiamo logica positiva, cioè utilizziamo i simboli 0 e 1 per rappresentare rispettivamente il valore inferiore e il valore superiore dei segnali in gioco. 20/06/2008 MC--Nappi 2

3 ALGEBRA DI COMMUTAZIONE: I Postulati 20/06/2008 MC--Nappi 3

4 ALGEBRA DI COMMUTAZIONE: I Teoremi (a 1 variabile) 20/06/2008 MC--Nappi 4

5 ALGEBRA DI COMMUTAZIONE: I Teoremi (a 2 variabili) 20/06/2008 MC--Nappi 5

6 ALGEBRA DI COMMUTAZIONE: I Teoremi (a n variabile) 20/06/2008 MC--Nappi 6

7 ALGEBRA DI COMMUTAZIONE: I Simboli NAND NOR OR ESCLUSIVO 20/06/2008 MC--Nappi 7

8 Reti Combinatorie Con il termine di rete logica combinatoria (in inglese, combinational logic network) si definisce un circuito elettronico digitale realizzato mediante dispositivi elettronici in grado di svolgere funzioni di porte logiche (AND, OR, NOT, NAND, NOR, XOR) e caratterizzato dal fatto che i valori di uscita in ogni istante dipendono unicamente dai valori applicati in tale istante agli ingressi (ovvero, tali reti non hanno storia del unzionamento passato). Si noti che tale definizione si applica correttamente solo a reti ideali, poiché implica che non ci siano ritardi fra una modifica di un valore di ingresso e la corrispondente modifica dei valori di uscita. 20/06/2008 MC--Nappi 8

9 Reti Combinatorie: L Analisi 20/06/2008 MC--Nappi 9

10 Reti Combinatorie: L Analisi 20/06/2008 MC--Nappi 10

11 Reti Combinatorie: L Analisi 20/06/2008 MC--Nappi 11

12 Reti Combinatorie: La Sintesi 20/06/2008 MC--Nappi 12

13 Reti Combinatorie: La Sintesi 20/06/2008 MC--Nappi 13

14 Reti Combinatorie: La Sintesi 20/06/2008 MC--Nappi 14

15 Reti Combinatorie: La Sintesi 20/06/2008 MC--Nappi 15

16 Reti Combinatorie: La Sintesi Nel caso sopra indicato, ogni ingresso deve superare due livelli di porte (le porte AND associate ai vari mintermini e la porta OR finale), ci sono in tutto 6 porte AND e una porta OR, le porte AND hanno tutte 4 ingressi mentre la porta OR ha 6 ingressi. La notazione che descrive questa rete è dunque 2L7G30I. 20/06/2008 MC--Nappi 16

17 Reti Combinatorie: La Sintesi Volendo applicare anche a questa sintesi la notazione descrittiva della complessità realizzativa della funzione, otteniamo 2L11G50I. 20/06/2008 MC--Nappi 17

18 Reti Combinatorie: La Sintesi 20/06/2008 MC--Nappi 18

19 Reti Combinatorie: Minimizzazione 20/06/2008 MC--Nappi 19

20 Reti Combinatorie: Minimizzazione 20/06/2008 MC--Nappi 20

21 Reti Combinatorie: Minimizzazione 20/06/2008 MC--Nappi 21

22 Reti Combinatorie: Minimizzazione 20/06/2008 MC--Nappi 22

23 Esercizio 1 Il sistema di allarme di un ascensore ha i seguenti segnali di ingresso: X 1 =1 se la porta è chiusa X 2 =1 se l ascensore è carico X 3 =1 se l ascensore è chiamato X 4 =1 se l ascensore è in movimento Dare l espressione booleana di un segnale di allarme A(X 4,X 3,X 2,X 1 )=1 se la porta non è chiusa quando l ascensore è in movimento,oppure se l ascensore è in movimento ma risulta vuoto e non chiamato 20/06/2008 MC--Nappi 23

24 Esercizio 2 Una piccola società ha 1000 azioni di capitale suddivise come segue: 1. A possiede 125 Azioni 2. B possiede 250 Azioni 3. C possiede 375 Azioni 4. A possiede 250 Azioni In una riunione di azionisti, ogni azione dà diritto ad un voto. Per approvare una delibera è richiesta la maggioranza dei due terzi (667 o più voti a favore). Si vuole progettare una rete logica con ingressi A, B, C, D ed uscita f che vale 1 se la delibera passa, 0 altrimenti. Ogni azionista assegna tutti i suoi voti ponendo il rispettivo ingresso ad 1 se a favore della delibera, a 0 altrimenti. 20/06/2008 MC--Nappi 24

25 20/06/2008 MC--Nappi 25

Algebra Di Boole. Definiamo ora che esiste un segnale avente valore opposto di quello assunto dalla variabile X.

Algebra Di Boole. Definiamo ora che esiste un segnale avente valore opposto di quello assunto dalla variabile X. Algebra Di Boole L algebra di Boole è un ramo della matematica basato sul calcolo logico a due valori di verità (vero, falso). Con alcune leggi particolari consente di operare su proposizioni allo stesso

Dettagli

Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE

Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE Andrea Bobbio Anno Accademico 2000-2001 Algebra Booleana 2 Calcolatore come rete logica Il calcolatore può essere visto come una rete logica

Dettagli

ALGEBRA DELLE PROPOSIZIONI

ALGEBRA DELLE PROPOSIZIONI Università di Salerno Fondamenti di Informatica Corso di Laurea Ingegneria Corso B Docente: Ing. Giovanni Secondulfo Anno Accademico 2010-2011 ALGEBRA DELLE PROPOSIZIONI Fondamenti di Informatica Algebra

Dettagli

Algebra di Boole. Le operazioni, nell algebra booleana sono basate su questi tre operatori: AND ( ), OR ( + ),NOT ( )

Algebra di Boole. Le operazioni, nell algebra booleana sono basate su questi tre operatori: AND ( ), OR ( + ),NOT ( ) Algebra di Boole L algebra di Boole prende il nome da George Boole, matematico inglese (1815-1864), che pubblicò un libro nel 1854, nel quale vennero formulati i principi dell'algebra oggi conosciuta sotto

Dettagli

Algebra di Boole e reti logiche. Giovedì 8 ottobre 2015

Algebra di Boole e reti logiche. Giovedì 8 ottobre 2015 Algebra di Boole e reti logiche Giovedì 8 ottobre 2015 Punto della situazione Abbiamo visto le varie rappresentazioni dei numeri in binario e in altre basi e la loro aritmetica Adesso vedremo la logica

Dettagli

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it Lezione 2 Circuiti logici Mauro Piccolo piccolo@di.unito.it Bit e configurazioni di bit Bit: una cifra binaria (binary digit) 0 oppure 1 Sequenze di bit per rappresentare l'informazione Numeri Caratteri

Dettagli

Reti Logiche. Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali.

Reti Logiche. Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali. Reti Logiche Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali. - Elaborano informazione rappresentata da segnali digitali, cioe

Dettagli

I.I.S. Primo Levi Badia Polesine A.S. 2012-2013

I.I.S. Primo Levi Badia Polesine A.S. 2012-2013 LGEBR DI BOOLE I.I.S. Primo Levi Badia Polesine.S. 2012-2013 Nel secolo scorso il matematico e filosofo irlandese Gorge Boole (1815-1864), allo scopo di procurarsi un simbolismo che gli consentisse di

Dettagli

Esercitazioni di Reti Logiche. Lezione 2 Algebra Booleana e Porte Logiche. Zeynep KIZILTAN zkiziltan@deis.unibo.it

Esercitazioni di Reti Logiche. Lezione 2 Algebra Booleana e Porte Logiche. Zeynep KIZILTAN zkiziltan@deis.unibo.it Esercitazioni di Reti Logiche Lezione 2 Algebra Booleana e Porte Logiche Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Algebra booleana Funzioni booleane e loro semplificazioni Forme canoniche Porte

Dettagli

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche 3.1 LE PORTE LOGICHE E GLI OPERATORI ELEMENTARI 3.2 COMPORTAMENTO A REGIME E IN TRANSITORIO DEI CIRCUITI COMBINATORI I nuovi

Dettagli

Calcolatori: Algebra Booleana e Reti Logiche

Calcolatori: Algebra Booleana e Reti Logiche Calcolatori: Algebra Booleana e Reti Logiche 1 Algebra Booleana e Variabili Logiche I fondamenti dell Algebra Booleana (o Algebra di Boole) furono delineati dal matematico George Boole, in un lavoro pubblicato

Dettagli

Linguaggio del calcolatore. Algebra di Boole AND, OR, NOT. Notazione. And e or. Circuiti e reti combinatorie. Appendice A + dispense

Linguaggio del calcolatore. Algebra di Boole AND, OR, NOT. Notazione. And e or. Circuiti e reti combinatorie. Appendice A + dispense Linguaggio del calcolatore Circuiti e reti combinatorie ppendice + dispense Solo assenza o presenza di tensione: o Tante componenti interconnesse che si basano su e nche per esprimere concetti complessi

Dettagli

Reti sequenziali. Esempio di rete sequenziale: distributore automatico.

Reti sequenziali. Esempio di rete sequenziale: distributore automatico. Reti sequenziali 1 Reti sequenziali Nelle RETI COMBINATORIE il valore logico delle variabili di uscita, in un dato istante, è funzione solo dei valori delle variabili di ingresso in quello stesso istante.

Dettagli

Algebra booleana. Si dice enunciato una proposizione che può essere soltanto vera o falsa.

Algebra booleana. Si dice enunciato una proposizione che può essere soltanto vera o falsa. Algebra booleana Nel lavoro di programmazione capita spesso di dover ricorrere ai principi della logica degli enunciati e occorre conoscere i concetti di base dell algebra delle proposizioni. L algebra

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2. http://digilander.libero.it/rosario.cerbone

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2. http://digilander.libero.it/rosario.cerbone LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2 Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 2007-2008 Logica Combinatoria una rete combinatoria

Dettagli

2AE 2BE [Stesura a.s. 2014/15]

2AE 2BE [Stesura a.s. 2014/15] Monte ore annuo Libro di Testo SETTEMBRE PROGRAMMAZIONE COORDINATA TEMPORALMENTE 99 ore di cui 66 di laboratorio Appunti forniti dal docente, G. Chiavola ECDL Syllabus 5.0 Guida all esame per la patente

Dettagli

Laurea Specialistica in Informatica

Laurea Specialistica in Informatica Corso di Laurea in FISICA Laurea Specialistica in Informatica Fisica dell informazione 1 Elementi di Architettura degli elaboratori Prof. Luca Gammaitoni Informazioni sul corso: www.fisica.unipg unipg.it/gammaitoni/fisinfoit/gammaitoni/fisinfo

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI ALBA ANNO SCOLASTICO 2015/2016 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016 CLASSE 3 I Discip lina: Elettrotecnica ed Elettronica PROGETTAZIONE DIDATTICA ANNUALE Elaborata e sottoscritta dai docenti: cognome

Dettagli

Architettura dei Calcolatori Algebra delle reti Logiche

Architettura dei Calcolatori Algebra delle reti Logiche Architettura dei Calcolatori Algebra delle reti Logiche Ing. dell Automazione A.A. 20/2 Gabriele Cecchetti Algebra delle reti logiche Sommario: Segnali e informazione Algebra di commutazione Porta logica

Dettagli

Reti sequenziali sincrone

Reti sequenziali sincrone Reti sequenziali sincrone Un approccio strutturato (7.1-7.3, 7.5-7.6) Modelli di reti sincrone Analisi di reti sincrone Descrizioni e sintesi di reti sequenziali sincrone Sintesi con flip-flop D, DE, T

Dettagli

Macchine combinatorie

Macchine combinatorie Corso di Calcolatori Elettronici I A.A. 2010-2011 Macchine combinatorie Lezione 10 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Analisi e Sintesi di un sistema 1/2 Per analisi di

Dettagli

Esame di Stato 2015. Materia: SISTEMI AUTOMATICI PRIMA PARTE

Esame di Stato 2015. Materia: SISTEMI AUTOMATICI PRIMA PARTE Esame di Stato 2015 Materia: SISTEMI AUTOMATICI PRIMA PARTE Il problema proposto riguarda un sistema di acquisizione dati e controllo. I dati acquisiti sono in parte di natura digitale (misura del grado

Dettagli

Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione

Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione Esempi ed esercizi Aritmetica degli elaboratori e algebra di commutazione Fondamenti di Informatica Michele Ceccarelli Università del Sannio ceccarelli@unisannio.it Angelo Ciaramella DMI-Università degli

Dettagli

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 20-202 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 5 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di

Dettagli

Comparatori. Comparatori di uguaglianza

Comparatori. Comparatori di uguaglianza Comparatori Scopo di un circuito comparatore é il confronto tra due codifiche binarie. Il confronto può essere effettuato per verificare l'uguaglianza oppure una relazione d'ordine del tipo "maggiore",

Dettagli

Algebra di Boole ed Elementi di Logica

Algebra di Boole ed Elementi di Logica Algebra di Boole ed Elementi di Logica 53 Cenni all algebra di Boole L algebra di Boole (inventata da G. Boole, britannico, seconda metà 8), o algebra della logica, si basa su operazioni logiche Le operazioni

Dettagli

Variabili logiche e circuiti combinatori

Variabili logiche e circuiti combinatori Variabili logiche e circuiti combinatori Si definisce variabile logica binaria una variabile che può assumere solo due valori a cui si fa corrispondere, convenzionalmente, lo stato logico 0 e lo stato

Dettagli

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi:

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi: Modulo 8 Elettronica Digitale Contenuti: Introduzione Sistemi di numerazione posizionali Sistema binario Porte logiche fondamentali Porte logiche universali Metodo della forma canonica della somma per

Dettagli

Algebra di Boole. Le operazioni base sono AND ( ), OR ( + ), NOT ( )

Algebra di Boole. Le operazioni base sono AND ( ), OR ( + ), NOT ( ) Algebra di Boole Circuiti logici: componenti hardware preposti all'elaborazione delle informazioni binarie. PORTE LOGICHE (logical gate): circuiti di base. Allo scopo di descrivere i comportamenti dei

Dettagli

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0 Rappresentazione dei numeri I numeri che siamo abituati ad utilizzare sono espressi utilizzando il sistema di numerazione decimale, che si chiama così perché utilizza 0 cifre (0,,2,3,4,5,6,7,8,9). Si dice

Dettagli

Lezione 2 OPERAZIONI ARITMETICHE E LOGICHE ARCHITETTURA DI UN ELABORATORE. Lez2 Informatica Sc. Giuridiche Op. aritmetiche/logiche arch.

Lezione 2 OPERAZIONI ARITMETICHE E LOGICHE ARCHITETTURA DI UN ELABORATORE. Lez2 Informatica Sc. Giuridiche Op. aritmetiche/logiche arch. Lezione 2 OPERAZIONI ARITMETICHE E LOGICHE ARCHITETTURA DI UN ELABORATORE Comunicazione importante dalla prossima settimana, la lezione del venerdì si terrà: dalle 15:00 alle 17.15 in aula 311 l orario

Dettagli

Lezioni di Matematica 1 - I modulo

Lezioni di Matematica 1 - I modulo Lezioni di Matematica 1 - I modulo Luciano Battaia 16 ottobre 2008 Luciano Battaia - http://www.batmath.it Matematica 1 - I modulo. Lezione del 16/10/2008 1 / 13 L introduzione dei numeri reali si può

Dettagli

Operatori logici e porte logiche

Operatori logici e porte logiche Operatori logici e porte logiche Operatori unari.......................................... 730 Connettivo AND........................................ 730 Connettivo OR..........................................

Dettagli

Lezione 8. La macchina universale

Lezione 8. La macchina universale Lezione 8 Algoritmi La macchina universale Un elaboratore o computer è una macchina digitale, elettronica, automatica capace di effettuare trasformazioni o elaborazioni su i dati digitale= l informazione

Dettagli

Matematica generale CTF

Matematica generale CTF Equazioni differenziali 9 dicembre 2015 Si chiamano equazioni differenziali quelle equazioni le cui incognite non sono variabili reali ma funzioni di una o più variabili. Le equazioni differenziali possono

Dettagli

Ottimizazione vincolata

Ottimizazione vincolata Ottimizazione vincolata Ricordiamo alcuni risultati provati nella scheda sulla Teoria di Dini per una funzione F : R N+M R M di classe C 1 con (x 0, y 0 ) F 1 (a), a = (a 1,, a M ), punto in cui vale l

Dettagli

a b c Figura 1 Generatori ideali di tensione

a b c Figura 1 Generatori ideali di tensione Generatori di tensione e di corrente 1. La tensione ideale e generatori di corrente Un generatore ideale è quel dispositivo (bipolo) che fornisce una quantità di energia praticamente infinita (generatore

Dettagli

FUNZIONE ESPONENZIALE E FUNZIONE LOGARITMICA

FUNZIONE ESPONENZIALE E FUNZIONE LOGARITMICA FUNZIONE ESPONENZIALE E FUNZIONE LOGARITMICA DEFINIZIONE: Dato un numero reale a che sia a > 0 e a si definisce funzione esponenziale f(x) = a x la relazione che ad ogni valore di x associa uno e un solo

Dettagli

I PROBLEMI ALGEBRICI

I PROBLEMI ALGEBRICI I PROBLEMI ALGEBRICI La risoluzione di problemi è una delle attività fondamentali della matematica. Una grande quantità di problemi è risolubile mediante un modello algebrico costituito da equazioni e

Dettagli

PROGRAMMAZIONE MODULARE

PROGRAMMAZIONE MODULARE PROGRAMMAZIONE MODULARE ANNO SCOLASTICO 2013-2014 Indirizzo: ELETTROTECNICA - SIRIO Disciplina: ELETTRONICA Classe: 3^ Sezione: AES Numero di ore settimanali: 2 ore di teoria + 2 ore di laboratorio Modulo

Dettagli

V= R*I. LEGGE DI OHM Dopo aver illustrato le principali grandezze elettriche è necessario analizzare i legami che vi sono tra di loro.

V= R*I. LEGGE DI OHM Dopo aver illustrato le principali grandezze elettriche è necessario analizzare i legami che vi sono tra di loro. LEGGE DI OHM Dopo aver illustrato le principali grandezze elettriche è necessario analizzare i legami che vi sono tra di loro. PREMESSA: Anche intuitivamente dovrebbe a questo punto essere ormai chiaro

Dettagli

La Logica Proposizionale. (Algebra di Boole)

La Logica Proposizionale. (Algebra di Boole) 1 ISTITUTO DI ISTRUZIONE SUPERIORE ANGIOY La Logica Proposizionale (Algebra di Boole) Prof. G. Ciaschetti 1. Cenni storici Sin dagli antichi greci, la logica è intesa come lo studio del logos, che in greco

Dettagli

Utilizzo I mintermini si usano quando si considererà la funzione di uscita Q come Somma di Prodotti (S. P.) ossia OR di AND.

Utilizzo I mintermini si usano quando si considererà la funzione di uscita Q come Somma di Prodotti (S. P.) ossia OR di AND. IPSI G. Plana Via Parenzo 46, Torino efinizione di Mintermine onsiderata una qualunque riga della tabella di verità in cui la funzione booleana di uscita Q vale, si definisce mintermine il prodotto logico

Dettagli

Iniziamo con un esercizio sul massimo comun divisore: Esercizio 1. Sia d = G.C.D.(a, b), allora:

Iniziamo con un esercizio sul massimo comun divisore: Esercizio 1. Sia d = G.C.D.(a, b), allora: Iniziamo con un esercizio sul massimo comun divisore: Esercizio 1. Sia d = G.C.D.(a, b), allora: G.C.D.( a d, b d ) = 1 Sono state introdotte a lezione due definizioni importanti che ricordiamo: Definizione

Dettagli

Algebra Booleana ed Espressioni Booleane

Algebra Booleana ed Espressioni Booleane Algebra Booleana ed Espressioni Booleane Che cosa è un Algebra? Dato un insieme E di elementi (qualsiasi, non necessariamente numerico) ed una o più operazioni definite sugli elementi appartenenti a tale

Dettagli

Sintesi di reti combinatorie. Sommario. Motivazioni. Sommario. Funzioni Espressioni. M. Favalli

Sintesi di reti combinatorie. Sommario. Motivazioni. Sommario. Funzioni Espressioni. M. Favalli Sommario Sintesi di reti combinatorie Funzioni Espressioni 1 Teorema di espansione di Shannon (Boole) M. Favalli Engineering Department in Ferrara 2 Forme canoniche 3 Metriche per il costo di una rete

Dettagli

Ricerca Operativa e Logistica

Ricerca Operativa e Logistica Ricerca Operativa e Logistica Dott. F.Carrabs e Dott.ssa M.Gentili A.A. 2011/2012 Lezione 10: Variabili e vincoli logici Variabili logiche Spesso nei problemi reali che dobbiamo affrontare ci sono dei

Dettagli

Proof. Dimostrazione per assurdo. Consideriamo l insieme complementare di P nell insieme

Proof. Dimostrazione per assurdo. Consideriamo l insieme complementare di P nell insieme G Pareschi Principio di induzione Il Principio di Induzione (che dovreste anche avere incontrato nel Corso di Analisi I) consente di dimostrare Proposizioni il cui enunciato è in funzione di un numero

Dettagli

Alcune nozioni di base di Logica Matematica

Alcune nozioni di base di Logica Matematica Alcune nozioni di base di Logica Matematica Ad uso del corsi di Programmazione I e II Nicola Galesi Dipartimento di Informatica Sapienza Universitá Roma November 1, 2007 Questa é una breve raccolta di

Dettagli

APPUNTI DI ELETTRONICA DIGITALE

APPUNTI DI ELETTRONICA DIGITALE APPUNTI DI ELETTRONICA DIGITALE ITIS MARCONI-GORGONZOLA docente :dott.ing. Paolo Beghelli pag.1/24 Indice 1.ELETTRONICA DIGITALE 4 1.1 Generalità 4 1.2 Sistema di numerazione binario 4 1.3 Operazioni con

Dettagli

Elettronica I Generatore equivalente; massimo trasferimento di potenza; sovrapposizione degli effetti

Elettronica I Generatore equivalente; massimo trasferimento di potenza; sovrapposizione degli effetti Elettronica I Generatore equivalente; massimo trasferimento di potenza; sovrapposizione degli effetti Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema email:

Dettagli

Informatica (Basi di Dati)

Informatica (Basi di Dati) Corso di Laurea in Biotecnologie Informatica (Basi di Dati) Modello Entità-Relazione Anno Accademico 2009/2010 Da: Atzeni, Ceri, Paraboschi, Torlone - Basi di Dati Lucidi del Corso di Basi di Dati 1, Prof.

Dettagli

Amplificatori Audio di Potenza

Amplificatori Audio di Potenza Amplificatori Audio di Potenza Un amplificatore, semplificando al massimo, può essere visto come un oggetto in grado di aumentare il livello di un segnale. Ha quindi, generalmente, due porte: un ingresso

Dettagli

L algebra di Boole. Cenni Corso di Reti Logiche B. Mariagiovanna Sami

L algebra di Boole. Cenni Corso di Reti Logiche B. Mariagiovanna Sami L algebra di Boole Cenni Corso di Reti Logiche B Mariagiovanna Sami Algebra Booleana: sistema algebrico Operazione: Operazione α sull'insieme S={s1,s2,...} = funzione che da SxS (prodotto cartesiano S

Dettagli

MAPPE DI KARNAUGH e sintesi ottima

MAPPE DI KARNAUGH e sintesi ottima MAPPE DI KARNAUGH e sintesi ottima (prima stesura da rivedere) Sappiamo che una funzione logica può essere espressa in diverse forme, tra loro equivalenti e noi siamo già in grado di passare da una all

Dettagli

L idea alla base del PID èdi avere un architettura standard per il controllo di processo

L idea alla base del PID èdi avere un architettura standard per il controllo di processo CONTROLLORI PID PID L idea alla base del PID èdi avere un architettura standard per il controllo di processo Può essere applicato ai più svariati ambiti, dal controllo di una portata di fluido alla regolazione

Dettagli

Sommario. Definizione di informatica. Definizione di un calcolatore come esecutore. Gli algoritmi.

Sommario. Definizione di informatica. Definizione di un calcolatore come esecutore. Gli algoritmi. Algoritmi 1 Sommario Definizione di informatica. Definizione di un calcolatore come esecutore. Gli algoritmi. 2 Informatica Nome Informatica=informazione+automatica. Definizione Scienza che si occupa dell

Dettagli

MAPPE DI KARNAUGH. Nei capitoli precedenti si è visto che è possibile associare un circuito elettronico o elettrico ad una funzione logica.

MAPPE DI KARNAUGH. Nei capitoli precedenti si è visto che è possibile associare un circuito elettronico o elettrico ad una funzione logica. MAPPE DI KARNAUGH 1. Generalità Nei capitoli precedenti si è visto che è possibile associare un circuito elettronico o elettrico ad una funzione logica. E ovvio che più semplice è la funzione e più semplice

Dettagli

Ambiente di apprendimento

Ambiente di apprendimento ELETTROTECNICA ED ELETTRONICA MAIO LINO, PALUMBO GAETANO 3EET Settembre novembre Saper risolvere un circuito elettrico in corrente continua, e saperne valutare i risultati. Saper applicare i teoremi dell

Dettagli

Applicazioni lineari

Applicazioni lineari Applicazioni lineari Esempi di applicazioni lineari Definizione. Se V e W sono spazi vettoriali, una applicazione lineare è una funzione f: V W tale che, per ogni v, w V e per ogni a, b R si abbia f(av

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007 Sommario Macchine a stati finiti M. Favalli 5th June 27 4 Sommario () 5th June 27 / 35 () 5th June 27 2 / 35 4 Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante:

Dettagli

Laboratorio di Algoritmi e Strutture Dati

Laboratorio di Algoritmi e Strutture Dati Laboratorio di Algoritmi e Strutture Dati Aniello Murano http://people.na.infn.it people.na.infn.it/~murano/ 1 Esercitazione di laboratorio: Problema del venditore Terza parte 2 1 Esercizio del venditore

Dettagli

Sia data la rete di fig. 1 costituita da tre resistori,,, e da due generatori indipendenti ideali di corrente ed. Fig. 1

Sia data la rete di fig. 1 costituita da tre resistori,,, e da due generatori indipendenti ideali di corrente ed. Fig. 1 Analisi delle reti 1. Analisi nodale (metodo dei potenziali dei nodi) 1.1 Analisi nodale in assenza di generatori di tensione L'analisi nodale, detta altresì metodo dei potenziali ai nodi, è un procedimento

Dettagli

GRANDEZZE ALTERNATE SINUSOIDALI

GRANDEZZE ALTERNATE SINUSOIDALI GRANDEZZE ALTERNATE SINUSOIDALI 1 Nel campo elettrotecnico-elettronico, per indicare una qualsiasi grandezza elettrica si usa molto spesso il termine di segnale. L insieme dei valori istantanei assunti

Dettagli

Architettura degli Elaboratori Implementazione di funzioni booleane

Architettura degli Elaboratori Implementazione di funzioni booleane Architettura degli Elaboratori Implementazione di funzioni booleane Giacomo Fiumara giacomo.fiumara@unime.it Anno Accademico 2012-2013 1 / 34 Introduzione /1 Ogni funzione booleana può essere implementata

Dettagli

Dispensa del corso di Informatica

Dispensa del corso di Informatica Dispensa 6-Boolean 1 Algebra Booleana Dispensa del corso di Informatica La logica George Boole (1815 1864) è stato un matematico e logico britannico, ed è considerato il padre fondatore della logica matematica.

Dettagli

Grandezze scalari e vettoriali

Grandezze scalari e vettoriali Grandezze scalari e vettoriali Esempio vettore spostamento: Esistono due tipi di grandezze fisiche. a) Grandezze scalari specificate da un valore numerico (positivo negativo o nullo) e (nel caso di grandezze

Dettagli

Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari. Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08

Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari. Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08 Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari Mariagiovanna Sami Corso di reti Logiche 8 Anno 27-8 8 Quali componenti, se non AND e OR (e NOT )? Si è detto inizialmente che

Dettagli

IL CONCETTO DI FUNZIONE

IL CONCETTO DI FUNZIONE IL CONCETTO DI FUNZIONE Il concetto di funzione è forse il concetto più importante per la matematica: infatti la matematica e' cercare le cause, le implicazioni, le conseguenze e l'utilità di una funzione

Dettagli

Basi di dati. Il Modello Relazionale dei Dati. K. Donno - Il Modello Relazionale dei Dati

Basi di dati. Il Modello Relazionale dei Dati. K. Donno - Il Modello Relazionale dei Dati Basi di dati Il Modello Relazionale dei Dati Proposto da E. Codd nel 1970 per favorire l indipendenza dei dati Disponibile come modello logico in DBMS reali nel 1981 (non è facile realizzare l indipendenza

Dettagli

Memorie ROM (Read Only Memory)

Memorie ROM (Read Only Memory) Memorie ROM (Read Only Memory) Considerando la prima forma canonica, la realizzazione di qualsiasi funzione di m variabili richiede un numero di porte AND pari al numero dei suoi mintermini e di prolungare

Dettagli

Logica binaria. Porte logiche.

Logica binaria. Porte logiche. Logica binaria Porte logiche. Le porte logiche sono gli elementi fondamentali su cui si basa tutta la logica binaria dei calcolatori. Ricevono in input uno, due (o anche più) segnali binari in input, e

Dettagli

Transitori del primo ordine

Transitori del primo ordine Università di Ferrara Corso di Elettrotecnica Transitori del primo ordine Si consideri il circuito in figura, composto da un generatore ideale di tensione, una resistenza ed una capacità. I tre bipoli

Dettagli

A L'operatore NOT si scrive con una linea sopra la lettera indicante la variabile logica A ; 0 1 1 0. NOT di A =

A L'operatore NOT si scrive con una linea sopra la lettera indicante la variabile logica A ; 0 1 1 0. NOT di A = ALGEBRA DI BOOLE L'algebra di Boole è un insieme di regole matematiche; per rappresentare queste regole si utilizzano variabili logiche, funzioni logiche, operatori logici. variabili logiche: si indicano

Dettagli

LE FUNZIONI A DUE VARIABILI

LE FUNZIONI A DUE VARIABILI Capitolo I LE FUNZIONI A DUE VARIABILI In questo primo capitolo introduciamo alcune definizioni di base delle funzioni reali a due variabili reali. Nel seguito R denoterà l insieme dei numeri reali mentre

Dettagli

Proposta di soluzione della prova di matematica Liceo scientifico di Ordinamento - 2014

Proposta di soluzione della prova di matematica Liceo scientifico di Ordinamento - 2014 Proposta di soluzione della prova di matematica Liceo scientifico di Ordinamento - 14 Problema 1 Punto a) Osserviamo che g (x) = f(x) e pertanto g () = f() = in quanto Γ è tangente all asse delle ascisse,

Dettagli

Alessandro Pellegrini

Alessandro Pellegrini Esercitazione sulle Rappresentazioni Numeriche Esistono 1 tipi di persone al mondo: quelli che conoscono il codice binario e quelli che non lo conoscono Alessandro Pellegrini Cosa studiare prima Conversione

Dettagli

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati Il Livello LogicoDigitale i Blocchi funzionali combinatori Circuiti integrati Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati

Dettagli

Logica e codifica binaria dell informazione

Logica e codifica binaria dell informazione Politecnico di Milano Corsi di Laurea in Ingegneria Matematica e Ingegneria Fisica Dipartimento di Elettronica ed Informazione Logica e codifica binaria dell informazione Anno Accademico 2002 2003 L. Muttoni

Dettagli

ENCODER. Fig. 1. attivi C B A. APPUNTI DI ELETTRONICA ENCODER DECODER rel. 01/06 Prof. Domenico Di Stefano pag. 19

ENCODER. Fig. 1. attivi C B A. APPUNTI DI ELETTRONICA ENCODER DECODER rel. 01/06 Prof. Domenico Di Stefano pag. 19 ENCODER Gli encoder (codificatori) sono dispositivi elettronici che trasformano una informazione non binaria ( ottale, decimale, codice gray, ecc.) in un codice binario. Ad esempio l encoder di Fig. 1

Dettagli

Lezione 1. Gli Insiemi. La nozione di insieme viene spesso utilizzata nella vita di tutti i giorni; si parla dell insieme:

Lezione 1. Gli Insiemi. La nozione di insieme viene spesso utilizzata nella vita di tutti i giorni; si parla dell insieme: Lezione 1 Gli Insiemi La nozione di insieme viene spesso utilizzata nella vita di tutti i giorni; si parla dell insieme: degli iscritti ad un corso di laurea delle stelle in cielo dei punti di un piano

Dettagli

Complementi di Analisi per Informatica *** Capitolo 2. Numeri Complessi. e Circuiti Elettrici. a Corrente Alternata. Sergio Benenti 7 settembre 2013

Complementi di Analisi per Informatica *** Capitolo 2. Numeri Complessi. e Circuiti Elettrici. a Corrente Alternata. Sergio Benenti 7 settembre 2013 Complementi di Analisi per nformatica *** Capitolo 2 Numeri Complessi e Circuiti Elettrici a Corrente Alternata Sergio Benenti 7 settembre 2013? ndice 2 Circuiti elettrici a corrente alternata 1 21 Circuito

Dettagli

DOMINI A FATTORIZZAZIONE UNICA

DOMINI A FATTORIZZAZIONE UNICA DOMINI A FATTORIZZAZIONE UNICA CORSO DI ALGEBRA, A.A. 2012-2013 Nel seguito D indicherà sempre un dominio d integrità cioè un anello commutativo con unità privo di divisori dello zero. Indicheremo con

Dettagli

PROGRAMMAZIONE DI L.T.E.

PROGRAMMAZIONE DI L.T.E. PROGRAMMAZIONE DI L.T.E. (LABORATORI TECNOLOGICI ED ESERCITAZIONI ) CLASSE II Note: - le classi prime, durante l'anno scolastico, svolgeranno, a rotazione, le lezioni nei laboratori e con i docenti specifici

Dettagli

L INNOVAZIONE SCIENTIFICO-TECNOLOGICA NEI PROCESSI PRODUTTIVI

L INNOVAZIONE SCIENTIFICO-TECNOLOGICA NEI PROCESSI PRODUTTIVI L INNOVAZIONE SCIENTIFICO-TECNOLOGICA NEI PROCESSI PRODUTTIVI Scienza ed industria hanno oggi costituito legami molto forti di collaborazione che hanno portato innovazione tecnologica sia a livello organizzativo-amministrativo

Dettagli

Codifica binaria e algebra di Boole

Codifica binaria e algebra di Boole Codifica binaria e algebra di Boole Corso di Programmazione A.A. 2008/09 G. Cibinetto Contenuti della lezione Codifica binaria dell informazione Numeri naturali, interi, frazionari, in virgola mobile Base

Dettagli

Derivate Limiti e funzioni continue

Derivate Limiti e funzioni continue Derivate Limiti e funzioni continue Se il valore di una funzione f() si avvicina al valore l quando si avvicina ad 0 diciamo che f() ha come ite l per tendente ad 0. Noi per rappresentare questo fatto

Dettagli

Anno 3. Classificazione delle funzioni

Anno 3. Classificazione delle funzioni nno 3 Classificazione delle funzioni 1 Introduzione In questa lezione affronteremo lo studio delle principali proprietà delle funzioni, imparando a classificarle e a compiere alcune operazioni su esse.

Dettagli

Esercizi svolti di Elettrotecnica

Esercizi svolti di Elettrotecnica Marco Gilli Dipartimento di Elettronica Politecnico di Torino Esercizi svolti di Elettrotecnica Politecnico di Torino TOINO Maggio 2003 Indice Leggi di Kirchhoff 5 2 Legge di Ohm e partitori 5 3 esistenze

Dettagli

Operazioni binarie fondamentali

Operazioni binarie fondamentali Operazioni binarie fondamentali Operazioni fondamentali: operazioni elementari sui bit. Sono definite le operazioni aritmetiche più le operazioni logiche (AND, OR, NOT). Le operazioni possono essere descritte

Dettagli

Macchine a stati finiti. Sommario. Sommario. M. Favalli. Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante:

Macchine a stati finiti. Sommario. Sommario. M. Favalli. Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante: Sommario Macchine a stati finiti M. Favalli Engineering Department in Ferrara 4 Sommario (ENDIF) Analisiesintesideicircuitidigitali / 35 (ENDIF) Analisiesintesideicircuitidigitali 2 / 35 4 Le macchine

Dettagli

Prodotto elemento per elemento, NON righe per colonne Unione: M R S

Prodotto elemento per elemento, NON righe per colonne Unione: M R S Relazioni binarie Una relazione binaria può essere rappresentata con un grafo o con una matrice di incidenza. Date due relazioni R, S A 1 A 2, la matrice di incidenza a seguito di varie operazioni si può

Dettagli

Scopo della lezione. Informatica. Informatica - def. 1. Informatica

Scopo della lezione. Informatica. Informatica - def. 1. Informatica Scopo della lezione Informatica per le lauree triennali LEZIONE 1 - Che cos è l informatica Introdurre i concetti base della materia Definire le differenze tra hardware e software Individuare le applicazioni

Dettagli

Macchine a stati finiti G. MARSELLA UNIVERSITÀ DEL SALENTO

Macchine a stati finiti G. MARSELLA UNIVERSITÀ DEL SALENTO Macchine a stati finiti 1 G. MARSELLA UNIVERSITÀ DEL SALENTO Introduzione Al più alto livello di astrazione il progetto logico impiega un modello, la cosiddetta macchina a stati finiti, per descrivere

Dettagli

TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE

TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE ISTRUZIONE E FORMAZIONE TECNICA SUPERIORE SETTORE INDUSTRIA E ARTIGIANATO TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE STANDARD MINIMI DELLE COMPETENZE TECNICO PROFESSIONALI DESCRIZIONE DELLA FIGURA

Dettagli

Corso di Matematica per la Chimica

Corso di Matematica per la Chimica Dott.ssa Maria Carmela De Bonis a.a. 203-4 I sistemi lineari Generalità sui sistemi lineari Molti problemi dell ingegneria, della fisica, della chimica, dell informatica e dell economia, si modellizzano

Dettagli

Richiami di Algebra di Commutazione

Richiami di Algebra di Commutazione LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 6-7 Richiami di Algebra di Commutazione In questa

Dettagli

MATEMATICA 2001. p = 4/6 = 2/3; q = 1-2/3 = 1/3. La risposta corretta è quindi la E).

MATEMATICA 2001. p = 4/6 = 2/3; q = 1-2/3 = 1/3. La risposta corretta è quindi la E). MATEMATICA 2001 66. Quale fra le seguenti affermazioni è sbagliata? A) Tutte le funzioni ammettono la funzione inversa B) Una funzione dispari è simmetrica rispetto all origine C) Una funzione pari è simmetrica

Dettagli

Obiettivi dell Analisi Numerica. Avviso. Risoluzione numerica di un modello. Analisi Numerica e Calcolo Scientifico

Obiettivi dell Analisi Numerica. Avviso. Risoluzione numerica di un modello. Analisi Numerica e Calcolo Scientifico M. Annunziato, DIPMAT Università di Salerno - Queste note non sono esaustive ai fini del corso p. 3/43 M. Annunziato, DIPMAT Università di Salerno - Queste note non sono esaustive ai fini del corso p.

Dettagli