library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio."

Transcript

1 VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A <= B sono eseguite contemporaneamente Variabili Solo all'interno di processi C := D Strutture di controllo (if, case,...) Case insensitive Quick reference: VHDL cookbook VHDL schema file VHDL - librerie library <library_name>; use <library_name>.<pkg_name>.<pkg_section>; librerie da usare librerie da usare entity <component_name> is port (<port_specs>); end <component_name>; definizione dell'interfaccia architecture <arch_ident> of <component_name> is type <type_defs> signal <signal_decl> <func_defs> <component_decls> <arch_specification> end <arch_ident>; struttura o comportamento del componente library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.all; std_logic std_logic_vector 0, 1, Z, X, U, L, H, W, - array di std_logic (specificare il range)

2 VHDL definizione interfaccia VHDL definizione interfaccia entity Dff is port (<port_specs>); end Dff; stesso identificatore <port_specs>: <port_spec>; <port_spec>;... <port_spec>: <NAME>, <NAME>,... : [in out] <type> entity counter is port (<port_specs>); end counter; MB : in std_logic; DA : in std_logic_vector(2 downto 0); V,C,N,Z : out std_logic DO : out std_logic_vector(31 downto 0); entity Dff is port (CLK, RST, D : in std_logic; Q : out std_logic); end Dff; VHDL definizione tipi VHDL definizione segnali type <name> is <typespec> <typespec>: range <num1> [to downto] <num2> <typespec>: (elem1, elem2,...) <typespec>: array (<range>) of <type>; signal <name>, <name>,... : <type>; type signed_byte is range -128 to 127; type state_type is (A, B, C, D); type bit_word is array (15 downto 0) of bit; type bit_vector is array (natural range <>) of bit; signal bus : std_logic_vector(31 downto 0); signal Z : std_logic; signal next_state : state_type;

3 VHDL definizione componenti VHDL component <component_name> is port (<port_specs>); component mux32x2 port ( I0, I1 : in std_logic_vector(31 downto 0); S : in std_logic; Y : out std_logic_vector(31 downto 0)); Descrizione comportamentale cosa succede quando i segnali cambiano process conditional signal assignment Descrizione strutturale quali sono i blocchi componenti come sono collegati tra loro conditional signal assignment VHDL process VHDL conditional signal assignment <process_name> : process (<sensitivity_list>) <var_decls> instructions entity reg32 is port (CLK, RST, load : in std_logic; D : in std_logic_vector(31 downto 0); Q : out std_logic_vector(31 downto 0)); end reg32; architecture behav of reg32 is process (CLK, RST) if (RST = '1') then Q <= (others => '0'); elsif (CLK'event and CLK='1' and load='1') then Q <= D; segnali a cui il processo è sensibile assegnazioni concorrenti se all'attivazione di un processo si ha Q='1', dopo le istruzioni: Q <= '0'; A <= Q; si avra': Q='0' e A='1' <signal> <= <data> when <condition> <data> when <condition>... <data> entity and2 is port (I0, I1 : in std_logic; Y0 : out std_logic); end and2; architecture s of and2 is Y0 <= I0 when I1='1' '0';

4 VHDL conditional signal assignment <signal> <= <data> when <condition> <data> when <condition>... <data> VHDL conditional signal assignment <signal> <= <data> when <condition> <data> when <condition>... <data> entity and2 is port (I0, I1 : in std_logic; Y0 : out std_logic); end and2; architecture s of and2 is Y0 <= I0 when I1='1' '0'; process (I0,I1) if (I1='1') then Y0 <= I0; Y0 <= '0'; entity and2 is port (I0, I1 : in std_logic; Y0 : out std_logic); end and2; architecture s of and2 is Y0 <= I0 and I1 after 1 ns; process (I0,I1) Y0 <= I0 and I1 after 1 ns; VHDL VHDL if if <condizione> then sequenza di istruzioni { elsif <condizione> then sequenza di istruzioni } sequenza di istruzioni case case <espressione> is { when <scelta> => sequenza di istruzioni } { when others => sequenza di istruzioni } end case;

5 VHDL VHDL Esempio 1 Le istruzioni condizionali possono causare l'uso di elementi di memoria da parte dei tool di sintesi condizione non gestita i segnali devono mantenere il valore precedente, è necessario un registro esempio: if A='0' then B <= '1'; serve un registro per mantenere il valore di B nel caso A!='0' if A='0' then B <= '1'; B <= '0'; non serve un registro, si può usare una rete combinatoria Flip-flop D sensibile al fronte di salita del clock e con reset asincrono entity Dff is port (CLK, RESET, D : in std_logic; Q : out std_logic); end Dff; architecture behav of Dff is process (CLK, RESET) if (RESET = '1') then Q <= '0'; elsif (CLK'event and CLK='1') then Q <= D; end behav; definizione dell'interfaccia sensitivity list del processo se RESET è alto, azzerare l'uscita altrimenti, nel caso di un fronte di salita di CLK, assegnare all'uscita il valore dell'ingresso VHDL Esempio 2 Contatore a 4 bit con indicazione di raggiungimento del limite (indicazione abilitata solo se l'ingresso EN è alto) use ieee.numeric_std.all; entity count4 is port (CLK, RESET, EN : in std_logic; Q : out std_logic_vector(3 downto 0); C0 : out std_logic); end count4; architecture behav of count4 is signal count : std_logic_vector(3 downto 0); process (CLK, RESET) if (RESET = '1') then count <= 0000 ; elsif (CLK'event and CLK='1') then count <= std_logic_vector(unsigned(count) + 1); Q <= count; C0 <= '1' when count= 1111 and EN='1' '0'; end behav; package per gestire i numeri definizione interfaccia reset del contatore incremento contatore assegnazione uscite sarà sintetizzata con logica combinatoria VHDL Esempio 3 Sequence recognizer FSM che riconosce una sequenza di bit in un flusso seriale Sequenza da riconoscere: 1101 Esempio: Input: Output:

6 Sequence recognizer Diagramma degli stati Sequence Recognizer 0/0 1/0 A 1/0 B 1/0 C 0/0 D 0/0 1/1 0/0 entity seq_rec is port (CLK, RESET, I : in std_logic; SEQUENCE : out std_logic); end seq_rec; definizione dell'interfaccia A B C D 0 1 A/0 B/0 A/0 C/0 D/0 C/0 A/0 B/1 Tabella delle transizioni Sequence Recognizer Sequence Recognizer architecture processes3 of seq_rec is type state_type is (A, B, C, D); signal state, next_state : state_type update_state : process (CLK, RESET) if (RESET = '1') then state <= A; elsif (CLK'event and CLK='1') then state <= next_state; dichiarazione di stato e prossimo stato processo che aggiorna lo stato ad ogni fronte di salita del clock compute_state : process (I, state) case state is when A => if I = '1' then next_state <= B; next_state <= A; when B => if I = '1' then next_state <= C; next_state <= A; when C => if I = '1' then next_state <= C; next_state <= D; calcolo del prossimo stato, a partire dallo stato corrente e dall'ingresso sarà sintetizzato con una rete combinatoria

7 Sequence Recognizer Sequence Recognizer when D => if I = '1' then next_state <= B; next_state <= A; end case; compute_output : process (I, state) case state is when A => SEQUENCE <= '0'; when B => SEQUENCE <= '0'; when C => SEQUENCE <= '0'; when D => if I = '1' then SEQUENCE <= '1'; SEQUENCE <= '0'; end case; calcolo dell'uscita, a partire dallo stato corrente e dall'ingresso sarà sintetizzato con una rete combinatoria end processes3; variante I Sequence Recognizer Sequence Recognizer compute_output : process (I, state) if state=d and I = '1' then SEQUENCE <= '1'; SEQUENCE <= '0'; calcolo dell'uscita, a partire dallo stato corrente e dall'ingresso sarà sintetizzato con una rete combinatoria SEQUENCE <= '1' when (state=d and I='1') '0'; end processes3; calcolo dell'uscita, a partire dallo stato corrente e dall'ingresso sarà sintetizzato con una rete combinatoria end processes3; variante II variante III

8 VHDL Esempio 3 Complemento a 2 Complemento a 2 Diagramma degli stati Data una stringa di ingresso seriale, che parte dal bit meno significativo, generare la stringa seriale che ne rappresenta il complemento a 2 0/0 A 1/1 0/1 B Senza il segnale FINE Esiste un ingresso FINE che indica la fine della stringa di ingresso 1/0 Esempi Input: Input: Output: Output: Input: Input: Output: Output: Complemento a 2 Diagramma degli stati Complemento a 2 00/0 A 01/0 01/1 10/1 11/0 B 10/0 00/1 Input: primo bit: I secondo bit: FINE entity compl2 is port (CLK, RESET, I, FINE : in std_logic; RES : out std_logic); end compl2; definizione dell'interfaccia 11/1 A B A/0 A/0 B/1 A/ A/1 B/1 A/0 B/0 Tabella delle transizioni

9 Complemento a 2 Complemento a 2 architecture processes of seq_rec is signal state, next_state : std_logic -- codifica stati: -- stato A = 0 -- stato B = 1 update_state : process (CLK, RESET) if (RESET = '1') then state <= '0'; elsif (CLK'event and CLK='1') then state <= next_state; dichiarazione di stato e prossimo stato codifica stati esplicita processo che aggiorna lo stato compute_state : process (I, FINE, state) case state is when '0' => if I = '1' and FINE = '0' then next_state <= '1'; next_state <= '0'; when '1' => if FINE = '1' then next_state <= '0'; next_state <= '1'; end case; calcolo del prossimo stato sarà sintetizzato con una rete combinatoria Complemento a 2 VHDL descrizione strutturale RES <= '1' when (state='0' and I='1') or (state='1' and I='0') '0'; component <component_name> is port (<port_specs>); end processes; calcolo dell'uscita sarà sintetizzato con una rete combinatoria architecture <identifier> of <device_name> is component <component_name> is port (<port_specs>);... signal <signals>; <name> : <component_name> port map (<port_name> => <signal>,...);... in1 out1 n0: NOT1 port map (in1=>signal_0, out1=>x0); SIGNAL_0 X0

10 Esempio 4 - Decoder Esempio 4 - Decoder S 0 S 1 X 0 Decoder X 1 Y 0 Y 1 Y 2 Y 3 Descrizione comportamentale entity DECODER is port (S0, S1 : in std_logic; Y0, Y1, Y2, Y3 : out std_logic); end DECODER; architecture behav of DECODER is process (S0, S1) if (S0 = '0' and S1 = '0') then Y0 <= '1'; Y1<='0'; Y2<='0'; Y3<='0'; elsif (S0 = '0' and S1 = '1') then Y0 <= '0'; Y1<='1'; Y2<='0'; Y3<='0'; elsif (S0 = '1' and S1 = '0') then Y0 <= '0'; Y1<='0'; Y2<='1'; Y3<='0'; Y0 <= '0'; Y1<='0'; Y2<='0'; Y3<='1'; Esempio 4 - Decoder Esempio 4 - Decoder entity DECODER is port (S0, S1 : in std_logic; Y0, Y1, Y2, Y3 : out std_logic); end DECODER; architecture s of DECODER is Y0 <= '1' when S0='0' and S1='0' '0'; Y1 <= '1' when S0='0' and S1='1' '0'; Y2 <= '1' when S0='1' and S1='0' '0'; Y3 <= '1' when S0='1' and S1='1' '0'; Descrizione strutturale library ieee, techlib; use ieee.std_logic_1164.all, techlib.all; entity DECODER is port (S0, S1 : in std_logic; Y0, Y1, Y2, Y3 : out std_logic); end DECODER; architecture struct of DECODER is component NOT1 port (in1: in std_logic; out1: out std_logic); component AND2 port (in1, in2: in std_logic; out1: out std_logic); Libreria che fornisce i componenti utilizzati: NOT1: porta not AND2: and a 2 ingressi Interfaccia dei componenti utilizzati

11 Esempio 4 - Decoder Esempio 4 - Decoder Descrizione strutturale signal X0, X1: std_logic; Segnali interni Descrizione strutturale signal X0, X1: std_logic; Segnali interni n0: NOT1 port map (in1=>s0, out1=>x0); n1: NOT1 port map (in1=>s1, out1=>x1); a1: AND2 port map (in1=>x0, in2=>x1, out1=>y0); a2: AND2 port map (in1=>x0, in2=>s1, out1=>y1); a3: AND2 port map (in1=>s0, in2=>x1, out1=>y2); a4: AND2 port map (in1=>s0, in2=>s1, out1=>y3); Descrizione componenti e collegamenti S 0 S 1 X 0 X 1 Y 0 n0: NOT1 port map (S0, X0); n1: NOT1 port map (S1, X1); a1: AND2 port map (X0, X1, Y0); a2: AND2 port map (X0, S1, Y1); a3: AND2 port map (S0, X1, Y2); a4: AND2 port map (S0, S1, Y3); Descrizione componenti e collegamenti i nomi delle porte si possono omettere (se i segnali sono indicati nel giusto ordine) Y 1 Y 2 Y 3 Esempio 5 - Multiplexer Esempio 5 - Multiplexer Descrizione comportamentale S 0 S 1 X 0 Decoder X 1 X 3 X 4 X 5 X 6 I 0 I 1 I 2 I 3 AND-OR X 7 X 8 X 9 X 10 Y entity MUX is port (I0, I1, I2, I3, S0, S1 : in std_logic; Y : out std_logic); end MUX; architecture behav of MUX is process (I0, I1, I2, I3, S0, S1) if (S0 = '0' and S1 = '0') then Y <= I0; elsif (S0 = '0' and S1 = '1') then Y <= I1; elsif (S0 = '1' and S1 = '0') then Y <= I2; Y <= I3;

12 Esempio 5 - Multiplexer Esempio 5 - Multiplexer Descrizione comportamentale (2) entity MUX is port (I0, I1, I2, I3, S0, S1 : in std_logic; Y : out std_logic); end MUX; architecture s of MUX is Y <= I0 when S0='0' and S1='0' I1 when S0='0' and S1='1' I2 when S0='1' and S1='0' I3; Descrizione strutturale library ieee, techlib; use ieee.std_logic_1164.all, techlib.all; entity MUX is port (I0, I1, I2, I3, S0, S1 : in std_logic; Y : out std_logic); end MUX; architecture struct of MUX is component NOT1 port (in1: in std_logic; out1: out std_logic); component OR4 port (in1, in2, in3, in4: in std_logic; out1: out std_logic); component AND2 port (in1, in2: in std_logic; out1: out std_logic); Libreria che fornisce i componenti utilizzati NOT1: porta not AND2: and a 2 ingressi OR 4: or a 4 ingressi Interfaccia dei componenti utilizzati Esempio 5 - Multiplexer Esempio 5 - Multiplexer Descrizione strutturale signal X0, X1, X2, X3, X4, X5, X6, X7, X8, X9, X10: std_logic; n0: NOT1 port map (in1=>s0, out1=>x0); n1: NOT1 port map (in1=>s1, out1=>x1); a1: AND2 port map (in1=>x0, in2=>x1, out1=>x3); a2: AND2 port map (in1=>x0, in2=>s1, out1=>x4); a3: AND2 port map (in1=>s0, in2=>x1, out1=>x5); a4: AND2 port map (in1=>s0, in2=>s1, out1=>x6); a5: AND2 port map (in1=>x3, in2=>i0, out1=>x7); a6: AND2 port map (in1=>x4, in2=>i1, out1=>x8); a7: AND2 port map (in1=>x5, in2=>i2, out1=>x9); a8: AND2 port map (in1=>x6, in2=>i3, out1=>x10); Segnali interni Decoder Piano AND della parte di uscita Descrizione strutturale 01: OR4 port map (in1=>x7, in2=>x8, in3=>x9, in4=>x10, out1=>y); OR finale X 3 I 0 X 4 I 1 X 5 I 2 X 6 I 3 X 8 X 9 X 7 X 10 Y

13 Esempio 5 - Multiplexer Inferenza Descrizione strutturale 01: OR4 port map (X7, X8, X9, X10, Y); i nomi delle porte si possono omettere (se i segnali sono indicati nel giusto ordine) Registro REG <= (others=>'0') when RESET='1' REG_in when CLK'event and CLK='1' and load='1'; Latch LATCH <= LATCH_in when load='1'; Multiplexer MUX2 <= MUX2_in1 when MUX2_sel='0' MUX2_in2; MUX4 <= MUX4_in1 when MUX4_sel= 00 MUX4_in2 when MUX4_sel= 01 MUX4_in3 when MUX4_sel= 10 MUX4_in4; Logica combinatoria F <= '1' when <condition> '0'; Adder - Shifter Testbench Sommatore signal OPERAND1, OPERAND2 : std_logic_vector(31 downto 0); signal Cin, Cout : std_logic; signal RES_int : std_logic_vector(operand1'left+2 downto 0); signal RES : std_logic_vector(operand1'left downto 0); signal Cin, Cout : std_logic; RES_int <= std_logic_vector( unsigned('0' & OPERAND1 & '1') + unsigned('0' & OPERAND2 & Cin) ); RES <= RES_int(RES_int'left-1 downto 1); Cout <= RES_int(RES_int'left); Testbench RESET CLK INPUTS OUTPUTS Device Under Test Shifter SHR <= '0' & SHR_in(SHR_in'left downto 1); SHL <= SHL_in(SHL_in'left-1 downto 0) & '0';

14 Testbench Testbench Generatore Reset Generatore Reset Generatore Clock Testbench RESET CLK INPUTS OUTPUTS Device Under Test Generatore Clock Testbench RESET CLK INPUTS OUTPUTS Device Under Test Generatore Ingressi Read file File dati

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

VHDL - Introduzione. //

VHDL - Introduzione. // VHDL - Introduzione VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuits Scopo presentazione: introdurre un sottoinsieme del sottoinsieme del linguaggio VHDL utilizzabile

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

Reti Logiche A. FSM in VHDL

Reti Logiche A. FSM in VHDL Reti Logiche A FSM in VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE

Dettagli

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a 1 Terza esercitazione Progetto di una rete di controllo Obiettivi Progetto e analisi di macchine a stati finiti 2 Macchina a Stati Finiti (FSM) Z(n)=f(x(n)) => Sistema Combinatorio Z(n)=f(x(n),x(n-1),x(n-2),..)

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

MPHS AA FSM in VHDL

MPHS AA FSM in VHDL MPHS AA 28-29 FSM in VHDL Cristina Silvano Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: silvano@elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE LOGIC RST

Dettagli

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) 18 settembre 2003 Prova del 9 giugno 2003 Descrizione VHDL (a scelta, dataflow o comportamentale) di un decoder per il

Dettagli

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned. ---- registro con reset e enable use IEEE.std_logic_unsigned.all; --Registro con reset sincrono e enable entity REGISTRO is port (CLK, RESET, ENABLE D Q : out std_logic end REGISTRO; architecture behavioral

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

Sequential Processing

Sequential Processing Sequential Processing Istruzioni sequenziali: comandi eseguiti in maniera seriale, uno dopo l'altro (comune ai linguaggi di programmazione) TUTTE le istruzioni in una architecturesono concorrenti (vengono

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Si progetti, utilizzando il linguaggio VHDL, una rete

Si progetti, utilizzando il linguaggio VHDL, una rete Si progetti, utilizzando il linguaggio VHDL, una rete logica in grado di realizzare il controllore di un distributore automatico di bevande. L interfaccia di I/O della rete è la seguente: ESERCIZIO entity

Dettagli

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language Introduzione al VHDL Corso introduttivo al VHDL Giovanni De Luca VHDL VHDL è l acronimo di VHSIC Hardware Description Language VHSIC è l acronimo di Very High Speed Integrated Circuit La storia Il VHDL

Dettagli

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr;

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; Modello sequenziale: i Process Modello sequenziale ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; ARCHITECTURE seq OF ffsr IS ( r PROCESS(s, IF s = 1 AND r = 0 THEN q

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Riassunto tecnica digitale

Riassunto tecnica digitale Introduzione... 2 Operazioni... 4 OR...4 AND...4 XOR...5 Operatori logici... 5 Negazione... 6 Ottimizzare mediante il teorema di De Morgan. 7 VHDL...8 Contatori...10 Multiplexer... 11 Demultiplexer...12

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

VHDL: Simulazione & Sintesi

VHDL: Simulazione & Sintesi VHDL: Simulazione & Sintesi Politecnico di Milano 18 Dicembre 2012 M. CARMINATI mcarminati@elet.polimi.it SIMULAZIONE VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 2 TESTBENCH Dopo aver

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni Introduzione Il VHDL e costituito da vari formati (types)ed operatori (operators) per consentire simulazione e sintesi a vari livelli Nel package STANDARD si trovano descritti quegli oggetti destinati

Dettagli

Descrizione di macchine a stati tramite VHDL

Descrizione di macchine a stati tramite VHDL Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara (ENDIF) FSMs VHDL Ling. di descr. dell hardware 1 / 35 Motivazioni Introdurre la descrizione di FSM in VHDL Introdurre

Dettagli

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 VHDL Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Corso di Reti Logiche A

Corso di Reti Logiche A Politecnico di Milano Reti Logiche A Corso di Reti Logiche A Introduzione al VHDL - Una prima presentazione - VHSIC-HDL HDL Very High Speed Integrated Circuit - Hardware Description Language Marco D. Santambrogio:

Dettagli

Note su VHDL. 22 gennaio 2004

Note su VHDL. 22 gennaio 2004 Note su VHDL 22 gennaio 2004 Design Entity L unità di base di un modello VHDL consiste nella Design Entity, che può rappresentare un intero sistema, un circuito stampato, un circuito integrato oppure una

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

$ % 16 dicembre Giovanni Beltrame 2

$ % 16 dicembre Giovanni Beltrame 2 ! "# $ % Giovanni Beltrame 2 & VHDL è un linguaggio di descrizione dell hardware (Hardware Description Language) Per hardware si intendono diverse cose a seconda del contesto L hw si può descrivere a vari

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici UNIVERSITÀ DEGLI STUDI DI UDINE Facoltà di Ingegneria Corso di Laurea Specialistica in Ingegneria Gestionale dell Informazione Dipartimento di Ingegneria Elettrica, Gestionale e Meccanica Calcolatori Elettronici

Dettagli

Reti Logiche A. Introduzione al VHDL

Reti Logiche A. Introduzione al VHDL Reti Logiche Introduzione al VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it 1 Sommario Introduzione Struttura di un modello

Dettagli

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore)

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore) Riepilogo su FSM Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara FSM: i) insieme finito di simboli di ingresso; ii) insieme finito di simboli di uscita; iii) un

Dettagli

Architetture e reti logiche. Esercitazioni VHDL. a.a. 2005/06. Uso del VHDL. Stefano Ferrari

Architetture e reti logiche. Esercitazioni VHDL. a.a. 2005/06. Uso del VHDL. Stefano Ferrari Architetture e reti logiche Esercitazioni VHDL a.a. 2005/06 Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di Milano

Dettagli

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano 1 Aritmetica frazionaria e fixed point Nella maggior parte delle applicazioni i numeri reali sono approssimati con numeri binari che ne rappresentano la parte intera e quella frazionaria. Il numero di

Dettagli

Reti Logiche A Esame del 13 settembre 2007

Reti Logiche A Esame del 13 settembre 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 13 settembre 2007 prof.ssa Cristiana Bolchini Esercizio n. 1 Effettuare

Dettagli

Reti Logiche A II Prova - 11 febbraio 2008

Reti Logiche A II Prova - 11 febbraio 2008 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - febbraio 008 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS Il VHDL 3 parte Tipi Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS 1 Definizione di un nuovo tipo TYPE Nome_tipo IS Definizione_tipo;

Dettagli

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07 UsodelVHDL Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Architettureeretilogiche VHDL UsodelVHDL

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL. Torino, 16/05/2005

ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL. Torino, 16/05/2005 Mauro Caule mat. 118381 Fabrizio Castellano mat. 122390 Luigi Fasano mat. 120730 ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL Torino, 16/05/2005 ENTITY sipo IS data_in:

Dettagli

Introduzione al VHDL Lezione 2

Introduzione al VHDL Lezione 2 Introduzione al VHDL Lezione 2 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Compito di linguaggi di descrizione dell hardware

Compito di linguaggi di descrizione dell hardware Compito di linuai di descrizione dell hardware Esercizio 1 Si realizzi un modello comportamentale in VHDL di un lath di tipo D trasparente (con inressi d e clk, ed uscita q). Quando clk = 1, il latch é

Dettagli

Reti Logiche A II Prova - 1 febbraio 2010

Reti Logiche A II Prova - 1 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 1 febbraio 2010 prof.ssa Cristiana Bolchini Esercizio n. 1 Data la

Dettagli

Flusso di progetto circuiti digitali

Flusso di progetto circuiti digitali Flusso di progetto circuiti digitali (anni 80/90) 1) Definizione Algoritmica : y=-x; 2) Schematic Entry 3) Custom Layout (place & route) 4) Parasitic extraction & Backannotation Il Design Productivity

Dettagli

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A Technology Dependance Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Introduzione al linguaggio VHDL F.Campi A.a. 2004-2005 Design Iteration Semicustom Design Flow Pre-Layout

Dettagli

Descrizione VHDL di componenti combinatori

Descrizione VHDL di componenti combinatori Descrizione VHDL di componenti combinatori 5 giugno 2003 1 Decoder Il decoder è un componente dotato di N ingressi e 2 N uscite. Le uscite sono poste tutte a 0 tranne quella corrispondente al numero binario

Dettagli

VHDL. Il linguaggio FOCUS

VHDL. Il linguaggio FOCUS ON Il linguaggio VHDL di Antonio Di Stefano Il linguaggio VHDL consente di descrivere ed implementare circuiti complessi utilizzando tecnologie quali FPGA, CPLD, ASIC e structured ASIC. In questo articolo

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

Progetto finale di reti logiche

Progetto finale di reti logiche Progetto finale di reti logiche (AGGIORNATO AL 13 Marzo 2018) Si vuole implementare un componente HW descritto in VHDL che, data un immagine in scala di grigi in un formato descritto successivamente, calcoli

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 14/7/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 14/7/2015 Appello d esame del 14/7/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

Descrizioni VHDL Behavioral

Descrizioni VHDL Behavioral 1 Descrizioni VHDL Behavioral In questo capitolo vedremo come la struttura di un sistema digitale è descritto in VHDL utilizzando descrizioni di tipo comportamentale. Outline: process wait statements,

Dettagli

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

VHDL come strumento di progetto di circuiti digitali

VHDL come strumento di progetto di circuiti digitali VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 1 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

Calcolatori Elettronici M Modulo Linguaggio VHDL Parte 2/2

Calcolatori Elettronici M Modulo Linguaggio VHDL Parte 2/2 Calcolatori Elettronici M Modulo 2 03 - Linguaggio VHDL Parte 2/2 1 Approfondimento su segnali e variabili Progettare in VHDL una rete che periodicamente dopo tre periodi di clock setta al livello logico

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL Introduzione al linguaggio VHDL Il VHDL è un linguaggio per la sintesi e la simulazione di circuiti digitali, uno standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

Implementazione di circuiti

Implementazione di circuiti Implementazione di circuiti PLA: Programmable Logic Array matrici regolari AND e OR in successione personalizzabili dall utente ROM: Read Only Memory circuiti ad hoc che implementano una particolare funzione

Dettagli

Fondamenti di Informatica B

Fondamenti di Informatica B Fondamenti di Informatica B Lezione n. 8 Alberto Broggi Gianni Conte A.A. 2005-2006 Fondamenti di Informatica B DESCRIZIONE LIVELLO REGISTRO REGISTER TRANSFER LEVEL (RTL) I MODULI BASE RTL STRUTTURE DI

Dettagli

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management Descrizione di Macchine a Stati finiti in VHDL Descrizioni di Macchine a Stati finiti in VHDL In questa lezione vedremo come un sistema digitale sequenziale può essere descritto in VHDL. Outline: Macchine

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Reti Logiche A - Prova di mercoledì 16 febbraio 2005 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Esercizio n. 1 Data la seguente espressione logica: ((c+a ) +c+a

Dettagli

Christian Pilato

Christian Pilato Politecnico di Milano Introduzione al VHDL Christian Pilato pilato@elet.polimi.it Sommario Introduzione Struttura di un modello Interfaccia Funzionalità Concetti base Livelli di astrazione Concorrenza

Dettagli

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package VHDL Testbenches La libreria IEEE La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench La libreria IEEE La libreria standard IEEE è composta 6 package std_logic_1164 std_logic_arith

Dettagli

Addizione tra numeri binari

Addizione tra numeri binari Addizione tra numeri binari A=a n-1 a n-2...a i...a 0 B=b n-1 b n-2...b i...b 0 s i =a i b i c in c out =a i b i + a i c in + b i c in a i b i FA c out c in S=s n s n-1 s n-2...s i...s 0 s i a n 1 b n

Dettagli

Linguaggi di descrizione dell hardware Progetti a.a. 2016/17

Linguaggi di descrizione dell hardware Progetti a.a. 2016/17 Linguaggi di descrizione dell hardware Progetti a.a. 2016/17 I progetti vengono assegnati dal docente sulla base delle preferenze degli studenti. Si raccomanda di inserire come soggetto in qualsiasi mail

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Note di VHDL STEFANO FERRARI Architetture e reti logiche Note di VHDL Pagina 2 di 56 Indice 1. Introduzione........................................

Dettagli

Livello logico digitale

Livello logico digitale Livello logico digitale circuiti combinatori di base e circuiti sequenziali Half Adder - Semisommatore Ingresso 2 bit, uscita 2 bit A+ B= ------ C S C=AB S=AB + AB=A B A B In Out HA A B C S S HA A C S

Dettagli

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard)

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard) Tipi di segnali (logici) predefiniti Tipo bit (definito nel package standard) Rappresentazione dei segnali in VHDL Approfondimento del corso di Linguaggi di descrizione dell hardware type Bit is ('0',

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili.

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili. Di cosa parliamo in questa lezione? Lezione E5 Logiche In questa lezione si descrivono i dispositivi logici Logiche Sistemi embedded e real-time 2 Struttura degli 24 gennaio 23 3 Dipartimento di Ingegneria

Dettagli

Registro a 4 bit P 1 D P 1 D C 0

Registro a 4 bit P 1 D P 1 D C 0 ESERCITAZIONE 7 Sommario Linguaggio di descrizione a livello RT rogetto a livello RT. Livello a trasferimento tra registri (segue).. Registri Gli elementi di memoria a livello RT sono i registri. I registri

Dettagli

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni Progetto di sistemi elettronici LA - esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni copie dei lucidi presentati a lezione breve guida all utilizzo di QUARTUS codici VHDL sommatore a 4

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

UART TX... VERSIONE CORRETTA!

UART TX... VERSIONE CORRETTA! dadduni UART TX... VERSIONE CORRETTA! 30 September 2018 Introduzione Questo articoletto è una naturale prosecuzione del procedente presente sul mio blog. L'avevo già detto nel precedente: non ho tutte

Dettagli

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali ESERCITAZIONE 4.5 Approfondimento Circuiti Logici e Sequenziali 2 Approfondimento: multiplexer 3 Multiplexer: soluzione alternativa Multiplexer: composizione interna 4 Multiplexer: soluzione alternativa

Dettagli

VHDL come strumento di progetto di circuiti digitali. Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA

VHDL come strumento di progetto di circuiti digitali. Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA VHDL come strumento di progetto di circuiti digitali Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 fcampi@deis.unibo.it Tel. Interno 93834 Centro ARCES, Viale Pepoli 3/2 http://www.micro.deis.unibo.it/cgi

Dettagli

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la 1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la documentazione, la simulazione e la sintesi di sistemi digitali.

Dettagli

I Componenti di un Sistema Digitale e Progetto Controllore data path

I Componenti di un Sistema Digitale e Progetto Controllore data path I Componenti di un Sistema Digitale e Progetto Controllore data path Maurizio Palesi Maurizio Palesi 1 Buffer (o Driver) Dispositivo che non ha nessuna funzione logica, se non quella presentare l uscita

Dettagli

Reti Logiche A Prova di giovedì 3 febbraio 2005

Reti Logiche A Prova di giovedì 3 febbraio 2005 olitecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A rova di giovedì febbraio 005 Matricola Cognome Nome

Dettagli

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30 Sistemi per la Progettazione Automatica Informatica - Tiziano Villa 17 Marzo 2008 Nome e Cognome: Matricola: Posta elettronica: problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL DEIS Dipartimento di Elettronica Informatica e Sistemistica Universita di Bologna Introduzione al linguaggio VHDL PARTE I Stefano Mattoccia e-mail: smattoccia@deis.unibo.it Telefono: +39 051 2093860 Bologna,

Dettagli

Complementi ed Esercizi di Reti Logiche in VHDL

Complementi ed Esercizi di Reti Logiche in VHDL Calcolatori Elettronici M Complementi ed Esercizi di Reti Logiche in VHDL in collaborazione con Francesco Maria Sprotetto 1 Premessa In questo progetto sono stati implementati gli esercizi descritti in

Dettagli

Elementi di progettazione dei sistemi VLSI Volume III: Esercizi di progetto ERRATA CORRIGE E NOTE AGLI ESERCIZI

Elementi di progettazione dei sistemi VLSI Volume III: Esercizi di progetto ERRATA CORRIGE E NOTE AGLI ESERCIZI Elementi di progettazione dei sistemi VLSI Volume III: Esercizi di progetto ERRATA CORRIGE E NOTE AGLI ESERCIZI Esercizio n 12 - pag 19, diagramma ASM: Esercizio n 13 - pag 24, 3 a riga dal basso: [] 101110

Dettagli

orologio e display cont 16

orologio e display cont 16 Tocci giovanni orologio e display obiettivo: costruzione di un orologio che sappia tenere in conto minuti e secondi. specifiche di progetto: Il nostro orologio, sarà costituito da : divisore di frequenza.

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Reti sequenziali notevoli: registri, registri a scorrimento, contatori ing. Alessandro Cilardo

Reti sequenziali notevoli: registri, registri a scorrimento, contatori ing. Alessandro Cilardo Corso di Calcolatori Elettronici I A.A. 2012-2013 Reti sequenziali notevoli: registri, registri a scorrimento, contatori ing. Alessandro Cilardo Accademia Aeronautica di Pozzuoli Corso Pegaso V GArn Elettronici

Dettagli