Progettazione di circuiti integrati

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Progettazione di circuiti integrati"

Transcript

1 Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.1/26

2 Introduzione La tecnologia microelettronica, basata sull utilizzo dei semiconduttori, ha subito un enorme evoluzione negli ultimi decenni. I circuiti VLSI (Very Large Scale Integration) realizzati con tecnologia CMOS (Complementary Metal Oxide Semiconductor) costituiscono la tecnologia strategica per lo sviluppo dei sistemi digitali. Il continuo incremento del livello di integrazione dei dispositivi microelettronici ha permesso la realizzazione di sistemi di complessità crescente. Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.2/26

3 Processori Intel chip anno transistor Pentium Pentium II Pentium III Pentium Pentium 4 13 nm Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.3/26

4 Intel 386 Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.4/26

5 Legge di Moore Osservazione di Moore (1965): ogni anno raddoppia la capacità elaborativa Previsione poi ritoccata in ogni 18 mesi e poi in ogni due anni. Parafrasata dal marketing Intel in raddoppia la velocità di elaborazione Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.5/26

6 Impiego di circuiti integrati Principali settori di mercato: Sistemi di elaborazione Telecomunicazioni Elettronica di consumo Automotive Automazione Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.6/26

7 Esigenze Il mercato dei circuiti integrati impone di: utilizzare le più avanzate tecnologie sub-micrometriche per mantenere elevate prestazioni ridurre costi di progetto e di fabbricazione per mantenersi competitivi sul mercato accorciare i tempi di progetto per rispettare il time-to-market del prodotto Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.7/26

8 Fasi di sviluppo di un circuito VLSI Progettazione: Modellizzazione Sintesi e ottimizzazione Validazione Fabbricazione: Fabbricazione delle maschere Fabbricazione dei wafer Fotolitografia Slicing del wafer Testing Packaging Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.8/26

9 Costi di produzione Incremento esponenziale dei macchinari litografici. ricerca: innovazione progettazione: più vie battute in parallelo produzione: diminuire gli scarti vendita: time-to-market critico Costo per transistor: trascurabile costa di più il package... Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.9/26

10 Tipi di circuiti integrati L elevato livello di integrazione implica: Aumento delle prestazioni Riduzione dei costi Aumento dell affidabilità Analisi valida nell ipotesi che i volumi di vendita del circuito siano abbastanza elevati da recuperare i costi di progetto e di fabbricazione. processori general purpose circuiti integrati dedicati o ASIC (Application Specific Integrated Circuit) DSP (Digital Signal Processor) circuiti integrati programmabili o FPGA (Field Programmable Gate Array) Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.10/26

11 Tempi di sviluppo La rapida evoluzione tecnologica del mercato microelettronico rende un circuito rapidamente obsoleto. Riduzione del time-to-market di un circuito o sistema digitale Riduzione dei tempi di sviluppo. Aumento del livello di qualità e affidabilità richiesto al prodotto. Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.11/26

12 Principali requisiti del mercato Livello di integrazione Complessità progettuale Prestazioni Dissipazione di potenza Affidabilità Time-to-market Costi Volumi di produzione La metodologia e gli strumenti di sviluppo sono elementi critici Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.12/26

13 Livelli di astrazione Definiscono i livelli di dettaglio della descrizione Livello Sistema Livello Comportamentale o Behavioral Livello Architetturale o RT (Register Transfer) Livello Logico Livello Circuitale o Transistor Livello Geometrico o Layout Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.13/26

14 Livello Sistema Esempio: Sistema di elaborazione composto da processore, memoria e dispositivi di ingresso/uscita. Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.14/26

15 Livello Comportamentale Rappresentazione algoritmica della funzionalità di un modulo o componente del sistema. descrizione algoritmica (e.g., Hardware Description Language) tabella di verità Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.15/26

16 Livello Architetturale Rappresentazione a livello di trasferimento tra registri. Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.16/26

17 Livello Logico Rappresentazione a livello di componenti (ad esempio porte logiche elementari e registri) e loro interconnessione. Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.17/26

18 Livello Circuitale Rappresentazione a livello di transistor e loro interconnessioni Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.18/26

19 Livello Layout Rappresentazione delle geometrie delle maschere tecnologiche relative a transistor, condensatori, resistenze e alle loro interconnessioni. Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.19/26

20 Progettazione Modellizzazione: Hardware Description Language (HDL) Diagramma di Flusso Schematico o Schema Logico Grafo di Transizione degli Stati Sintesi e ottimizzazione: Raffinamento del modello Validazione Verifica di correttezza e completezza del modello originale. Verifica della consistenza dei modelli sviluppati durante le successive fasi di progetto. Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.20/26

21 Criteri di ottimizzazione Prestazioni Ciclo di clock Ritardo o Latenza Throughput (per applicazioni pipeline) Area Consumo di potenza Testabilità Affidabilità Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.21/26

22 Criteri di ottimizzazione (2) Molto spesso occorre raggiungere un ottimizzazione congiunta secondo diversi criteri: Minimizzare l area sotto vincoli di ritardo Minimizzare il ritardo sotto vincoli di area Minimizzare il ritardo sotto vincoli di consumo di potenza Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.22/26

23 Metodologia di progetto top-down La progettazione di un sistema complesso può essere realizzata adottando una metodologia di progetto top-down realizzata attraverso un metodo di progetto incrementale (un flusso di operazioni viene ripetutamente applicato ad un progetto fino a raggiungere il più basso livello di astrazione) applicata come un ciclo di fasi di simulazione e sintesi a partire da una descrizione comportamentale del sistema Validazione della correttezza e completezza del modello iniziale ad alto livello (tramite simulazione) Raffinamento (aggiunta di maggiori dettagli) del modello Validazione delle consistenza dei modelli sviluppati durante le successive fasi di progetto Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.23/26

24 Vantaggi top-down Possibilità di gestire progetti più complessi. Riduzione dei tempi di progettazione. Riduzione degli errori (correttezza per costruzione). Possibilità di analisi trade-off costi/prestazioni. Ampliamento del numero di utenti alla progettazione. Possibilità di documentare il processo. Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.24/26

25 Linguaggi per creare HW Hardware Description Languages (HDLs) Verilog VHDL: VHSIC Hardware Description Language - VHSIC (Very High Speed Integrated Circuit) Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.25/26

26 VHDL Nato negli anni 80 come progetto del U.S. Department of Defense. Version 7.2: prima versione pubblica del linguaggio disponibile nel IEEE Std : primo standard completo del linguaggio. IEEE Std : versione aggiornata del linguaggio rilasciata nel IEEE Std 1164: definisce un package standard per il tipo di dato MVL9 (Multi Valued Logic, 9 valori). Stefano Ferrari Università degli Studi di Milano Architetture e Reti logiche Esercitazioni VHDL Progettazione di circuiti integrati a.a. 2003/04 p.26/26

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Informatica di Base - 6 c.f.u.

Informatica di Base - 6 c.f.u. Università degli Studi di Palermo Dipartimento di Ingegneria Informatica Informatica di Base - 6 c.f.u. Anno Accademico 2007/2008 Docente: ing. Salvatore Sorce Logica booleana e circuiti logici Perchè

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2 Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it

Dettagli

Fondamenti di Informatica per la Sicurezza a.a. 2006/07. Calcolatori. Stefano Ferrari

Fondamenti di Informatica per la Sicurezza a.a. 2006/07. Calcolatori. Stefano Ferrari Fondamenti di Informatica per la Sicurezza a.a. 2006/07 Calcolatori Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari Università degli Studi

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP Ingegneria e Tecnologie dei Sistemi di Controllo Unità di Elaborazione: MicroControllori e DSP Ing. Andrea Tilli DEIS Alma Mater Studiorum Università di Bologna E-Mail: atilli@deis.unibo.it Revisionato:

Dettagli

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

2. Le fasi della realizzazione di sistemi elettronici.

2. Le fasi della realizzazione di sistemi elettronici. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 2-1 2. Le fasi della realizzazione di sistemi elettronici. Nella creazione di un circuito elettronico integrato possono

Dettagli

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità di trasferimento dati. Esempio: Si supponga che ai

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Architettura dei calcolatori

Architettura dei calcolatori Cos'è un calcolatore? Architettura dei calcolatori Esecutore automatico di algoritmi Macchina universale Elementi di Informatica Docente: Giorgio Fumera Corso di Laurea in Edilizia Facoltà di Architettura

Dettagli

MATERIALI PER LA DISCUSSIONE

MATERIALI PER LA DISCUSSIONE SETTORE TECNOLOGICO MATERIALI PER LA DISCUSSIONE ISTITUTO TECNICO INDIRIZZO ARTICOLAZIONE TELECOMUNICAZIONI INFORMATICA E TELECOMUNICAZIONI ESITI DI APPRENDIMENTO Regolamento, Art. 5 comma 1 Nota: Le Competenze,

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Circuiti Integrati Architettura degli Elaboratori 1 A.A

Circuiti Integrati Architettura degli Elaboratori 1 A.A 1 Circuiti Integrati Architettura degli Elaboratori 1 A.A. 2002-03 03 Roberto Bisiani, 2000, 2001, 2002, Fabio Marchese 2003 25 marzo 2003 2 Circuiti integrati Costruzione di circuiti (logici e non) su

Dettagli

Macchina di von Neumann/Turing

Macchina di von Neumann/Turing Macchina di von Neumann/Turing Concetto di programma memorizzato Memoria principale per dati e istruzioni ALU opera su dati in formato binario Unità di controllo che interpreta le istruzioni in memoria

Dettagli

Università degli Studi del Sannio. Facoltà di Ingegneria

Università degli Studi del Sannio. Facoltà di Ingegneria - Impressionante crescita in complessità degli attuali IC digitali - Progesso tecnologico più veloce di capacità umana di progettazione - Necessità di strumenti CAD e di ben definite metodologie di progetto

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Elementi per il progetto di un microprocessore prof. Stefano Salvatori A.A. 2016/2017 Eccetto dove diversamente specificato, i contenuti

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

Lez. 4 L hardware. Prof. Pasquale De Michele Gruppo 2

Lez. 4 L hardware. Prof. Pasquale De Michele Gruppo 2 Lez. 4 L hardware 1 Dott. Pasquale De Michele Dipartimento di Matematica e Applicazioni Università di Napoli Federico II Compl. Univ. Monte S.Angelo Via Cintia, I-80126, Napoli pasquale.demichele@unina.it

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

Introduzione. Sommario. Il software. Definizione di Ingegneria del software

Introduzione. Sommario. Il software. Definizione di Ingegneria del software Sommario Introduzione Leggere Cap. 1 Ghezzi et al. Definizione Nascita dell ingegneria del software Ruolo Relazione con altre discipline Introduzione 2 Il software Il software e` definito come: i programmi,

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Fondamenti di Informatica. per la Sicurezza. a.a. 2003/04. Calcolatori. Stefano Ferrari

Fondamenti di Informatica. per la Sicurezza. a.a. 2003/04. Calcolatori. Stefano Ferrari Fondamenti di Informatica per la Sicurezza a.a. 2003/04 Calcolatori Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi

Dettagli

Introduzione al Many/Multi-core Computing

Introduzione al Many/Multi-core Computing Introduzione al Many/Multi-core Computing Sistemi Operativi e reti 6 giugno 2011 Outline del corso Introduzione Definizioni Motivazioni Storia Architettura Framework Algoritmica Parte I Introduzione Definizioni

Dettagli

Dispositivi per il controllo

Dispositivi per il controllo Dispositivi per il controllo ordini di comando PARTE DI COMANDO PARTE DI POTENZA Controllori monolitici Controllori con architettura a bus Controllori basati su PC informazioni di ritorno PLC (Programmable

Dettagli

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr.

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr. Laboratorio II, modulo 2 2016-2017 Elettronica digitale (2 a parte) (cfr. http://physics.ucsd.edu/~tmurphy/phys121/phys121.html) Esempio (reale) di comparatore + V V in + R V out V ref - V out V ref V

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

Laboratorio di Strumentazione Elettronica

Laboratorio di Strumentazione Elettronica Laboratorio di Strumentazione Elettronica Proposte di attività per il Progetto Elettronico per gli studenti del Corso di Laurea in Ingegneria Elettronica e delle Telecomunicazioni Pixel monolitici in tecnologia

Dettagli

Sistemi e reti 1 Logica Booleana e circuiti logici 2015/16

Sistemi e reti 1 Logica Booleana e circuiti logici 2015/16 Sistemi e reti 1 Logica Booleana e circuiti logici 2015/16 Ing. Andrea De Luca Sistemi e reti 1 2015/16 Logica Booleana e operatori logici 25/06/2016 Perché il calcolatore è binario? Un calcolatore può

Dettagli

7. I linguaggi descrittivi HDL.

7. I linguaggi descrittivi HDL. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 7-1 7. I linguaggi descrittivi HDL. Introduzione. I linguaggi descrittivi HDL (Hardware Description Language) nascono

Dettagli

ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A I SESSIONE - ANNO 2015 SEZIONE A- Settore Industriale

ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A I SESSIONE - ANNO 2015 SEZIONE A- Settore Industriale ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A SEZIONE A- Settore Industriale PRIMA PROVA TRACCIA N. 1: Impianti chimici Il Candidato illustri le problematiche

Dettagli

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Lezione E2. Sistemi embedded e real-time

Lezione E2. Sistemi embedded e real-time Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Sistemi digitali. Sistema digitale

Sistemi digitali. Sistema digitale Sistemi digitali 2/ 7 Sistema digitale In un sistema digitale le informazioni vengono rappresentate, elaborate e trasmesse mediante grandezze fisiche (segnali) che si considerano assumere solo valori discreti

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Tecnologie dei Sistemi di Automazione

Tecnologie dei Sistemi di Automazione Facoltà di Ingegneria Tecnologie dei Sistemi di Automazione Prof. Gianmaria De Tommasi Lezione 2 Architetture dei dispositivi di controllo e Dispositivi di controllo specializzati Corso di Laurea Codice

Dettagli

I fisici nel mondo del lavoro

I fisici nel mondo del lavoro Università degli Studi di Pavia, 16 marzo 2016 Laura Atzeni Sommario 2 STMicroelectronics: cos è e cosa fa I BCD in STMicroelectronics Esperienze personali nella Ricerca & Sviluppo (BCD) Sviluppo di piattaforme

Dettagli

ELETTRONICA ED ELETTROTECNICA

ELETTRONICA ED ELETTROTECNICA ELETTRONICA ED ELETTROTECNICA Profilo in uscita Il Diplomato in Elettronica ed Elettrotecnica è in grado di intervenire nella progettazione, nella costruzione e nel collaudo di sistemi elettrici ed elettronici,

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Obiettivi, sviluppo e risultati principali del progetto STEEL

Obiettivi, sviluppo e risultati principali del progetto STEEL Evento conclusivo progetto STEEL CNR Roma 3 novembre 2011 Obiettivi, sviluppo e risultati principali del progetto STEEL Enrico Del Re CNIT e Università di Firenze Il progetto STEEL Progetto Nazionale:

Dettagli

Sistemi e modelli. Sistemi

Sistemi e modelli. Sistemi Sistemi e modelli Obbiettivo: sviluppare metodologie e strumenti di analisi quantitativa della QoS di sistemi costruzione e soluzione di modelli per la valutazione di prestazioni e affidabilità di sistemi

Dettagli

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..) F3x - Presentazione della lezione F3 1/1- Obiettivi Analisi del trend tecnologico Panoramica delle principali famiglie logiche cablate Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Dettagli

separazione dei wafer processo di integrazione CMOS singola fetta testing su fetta inserimento nel package

separazione dei wafer processo di integrazione CMOS singola fetta testing su fetta inserimento nel package lingotto separazione dei wafer processo di integrazione CMOS singola fetta fette lavorate testing su fetta separazione dei dice inserimento nel package VENDITA testing sul dispositivo finito Figura 1.

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori I blocchi fondamentali dell elaboratore Architettura degli elaboratori ingresso Memoria uscita elaborazione I blocchi fondamentali dell elaboratore I blocchi fondamentali Memoria centrale (RAM) Memoria

Dettagli

L ARCHITETTURA DEI CALCOLATORI. Il processore La memoria centrale La memoria di massa Le periferiche di I/O

L ARCHITETTURA DEI CALCOLATORI. Il processore La memoria centrale La memoria di massa Le periferiche di I/O L ARCHITETTURA DEI CALCOLATORI Il processore La memoria centrale La memoria di massa Le periferiche di I/O Caratteristiche dell architettura 2 Flessibilità adatta a svolgere diverse tipologie di compiti

Dettagli

Gestione dello sviluppo software Modelli Base

Gestione dello sviluppo software Modelli Base Università di Bergamo Dip. di Ingegneria gestionale, dell'informazione e della produzione GESTIONE DEI SISTEMI ICT Paolo Salvaneschi A4_1 V1.0 Gestione dello sviluppo software Modelli Base Il contenuto

Dettagli

DIPARTIMENTO DI DISCIPLINE INFORMATICHE E TELECOMUNICAZIONI

DIPARTIMENTO DI DISCIPLINE INFORMATICHE E TELECOMUNICAZIONI PROGRAMMAZIONE DIDATTICA ANNUALE DI DIPARTIMENTO DIPARTIMENTO DI DISCIPLINE INFORMATICHE E TELECOMUNICAZIONI SECONDO BIENNIO ITT Informatica e Telecomunicazioni DIPARTIMENTO DI DISCIPLINE INFORMATICHE

Dettagli

CORSO DI LAUREA IN INGEGNERIA ELETTRONICA (nuovo ordinamento) 1 anno anno. Insegnamento a scelta (elenco A) 3 anno

CORSO DI LAUREA IN INGEGNERIA ELETTRONICA (nuovo ordinamento) 1 anno anno. Insegnamento a scelta (elenco A) 3 anno (nuovo ordinamento) 1 anno I Analisi matematica AB Fondamenti di informatica A + Laboratorio di programmazione Geometria A Lingua inglese MAT/0 ING-INF/0 MAT/03 9 3+4 4 Analisi matematica C Chimica A Fisica

Dettagli

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni Le macchine digitali Le Macchine digitali sono Sistemi artificiali che elaborano informazioni ogni informazione è descritta da variabili che possono assumere solo un numero finito di valori Ad ogni variabile

Dettagli

Unità 4: L architettura fisica del computer moderno

Unità 4: L architettura fisica del computer moderno Unità 4: L architettura fisica del computer moderno Prerequisiti calcolo proposizionale sistemi di numerazione posizionale semplici nozioni di tecnologia elettrica capacità di scrivere semplici programmi

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Elementi per il progetto di un microprocessore prof. Stefano Salvatori A.A. 2015/2016 Eccetto dove diversamente specificato, i contenuti

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

Introduzione. 1.1 Evoluzione della progettazione dei sistemi digitali

Introduzione. 1.1 Evoluzione della progettazione dei sistemi digitali 1 Introduzione 1.1 Evoluzione della progettazione dei sistemi digitali I primi sistemi digitali intesi come sistemi di elaborazione basati su tecnologie elettriche o elettromeccaniche e operanti su informazione

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Architettura dei sistemi a microprocessore prof. Stefano Salvatori A.A. 2014/2015 Eccetto dove diversamente specificato, i contenuti

Dettagli

L adozione di MATLAB e Simulink nei Corsi di Ingegneria al Politecnico di Milano. Maurizio Magarini MATLAB EXPO Milano, 4 novembre 2014

L adozione di MATLAB e Simulink nei Corsi di Ingegneria al Politecnico di Milano. Maurizio Magarini MATLAB EXPO Milano, 4 novembre 2014 L adozione di MATLAB e Simulink nei Corsi di Ingegneria al Politecnico di Milano MATLAB EXPO Milano, 4 novembre 2014 Sommario Introduzione. Il ruolo dei laboratori informatici nella didattica, formazione

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 Instruction Set Architecture: nozioni generali Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

Linee di programmazione

Linee di programmazione Ministero dell Istruzione, dell Università e della Ricerca Ufficio Scolastico regionale per il Lazio Istituto Tecnico Industriale A. Pacinotti ISTITUTO TECNICO TECNOLOGICO - LICEO SCIENTIFICO DELLE SCIENZE

Dettagli

Parte 2.c. Elaborazione: Hardware dedicato

Parte 2.c. Elaborazione: Hardware dedicato Parte 2.c Elaborazione: Hardware dedicato Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 1 Introduzione [DeMicheli-c1] 1.1 Generalità Motivazioni: Ottimizzazione delle prestazioni Riduzione

Dettagli

Elettronica dei Sistemi Digitali Domini di rappresentazione; richiami all algebra di Boole

Elettronica dei Sistemi Digitali Domini di rappresentazione; richiami all algebra di Boole Elettronica dei Sistemi Digitali Domini di rappresentaione; richiami all algebra di Boole Valentino Liberali Dipartimento di Tecnologie dell Informaione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it

Dettagli

Laboratorio di elettronica digitale

Laboratorio di elettronica digitale Università di Padova - DEI Laboratorio di elettronica digitale 3 Anno Ingegneria Elettronica (ex DM 509/99) AA 2009/2010 (Ultimo anno) -docente: -e-mail: Daniele Vogrig vogrig@dei.unipd.it -tel.: 049.827.7696

Dettagli

La stima dei costi nei dispositivi elettronici. Elettronica L Dispense del corso

La stima dei costi nei dispositivi elettronici. Elettronica L Dispense del corso La stima dei costi nei dispositivi elettronici Elettronica L Dispense del corso Sommario Stima dei costi Modello di costo DFx Design for Assembly/Manufacturability Curva di apprendimento Stima dei costi

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

Elettronica dei Sistemi Digitali LA

Elettronica dei Sistemi Digitali LA Elettronica dei Sistemi Digitali LA Università di Bologna, sede di Cesena Processi microelettronici A.a. 2004-2005 Tecniche Litografiche per la Fabbricazione di Circuiti Integrati - Etching Il fotoresist

Dettagli

Corsi di. Elettronica I (12 CFU 110 h) Laurea Ing. Elettronica 2 anno Elettronica per TLC (12 CFU 110 h) Laurea Ing. TLC 2 anno.

Corsi di. Elettronica I (12 CFU 110 h) Laurea Ing. Elettronica 2 anno Elettronica per TLC (12 CFU 110 h) Laurea Ing. TLC 2 anno. Corsi di Elettronica I (12 CFU 110 h) Laurea Ing. Elettronica 2 anno Elettronica per TLC (12 CFU 110 h) Laurea Ing. TLC 2 anno Elettronica I Elettronica per TLC marzo-aprile F. Della Corte, S. Rao analogica,

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumenti di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumento di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli

La memoria - tecnologie

La memoria - tecnologie Architettura degli Elaboratori e delle Reti Lezione 26 La memoria - tecnologie Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 25 1/21 Sommario!

Dettagli

SEM Communication Progettazione & Ingegneria Elettronica Polo Tecnologico di Vimercate

SEM Communication Progettazione & Ingegneria Elettronica Polo Tecnologico di Vimercate SEM Communication Progettazione & Ingegneria Elettronica Polo Tecnologico di Vimercate 31/03/2016 1 PROFILO SEM Communication nasce nel 2014 all interno del Distretto Green & High Tech Monza Brianza. In

Dettagli

Università degli Studi della Repubblica di San Marino Corso di laurea triennale in Design. Anno Accademico 2016/17. I anno primo semestre

Università degli Studi della Repubblica di San Marino Corso di laurea triennale in Design. Anno Accademico 2016/17. I anno primo semestre Università degli Studi della Repubblica di San Marino Corso di laurea triennale in Design Anno Accademico 2016/17 I anno primo semestre LABORATORIO DI DISEGNO PER IL PROGETTO lo studente acquisisce, attraverso

Dettagli

Introduzione alla Modellazione Solida

Introduzione alla Modellazione Solida Introduzione alla Modellazione Solida Obiettivi della Modellazione Solida e del CAD CAD e progettazione, CAD e sviluppo prodotto Esempio Evoluzione storica Competenze richieste Significato Con Computer

Dettagli

Reggio Calabria, 29 Aprile 2009 ING.VALERIO SCORDAMAGLIA

Reggio Calabria, 29 Aprile 2009 ING.VALERIO SCORDAMAGLIA TECNOLOGIE DEI SISTEMI DI CONTROLLO INTRODUZIONE PLC E DIAGRAMMA FUNZIONALE SEQUENZIALE Reggio Calabria, 29 Aprile 2009 ING.VALERIO SCORDAMAGLIA ESEMPIO: CARRELLO AUTOMATICO INTRODUZIONE PLC PROGRAMMABLE

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

1 TECNOLOGIE E PROGETTAZIONE DI SISTEMI ELETTRICI ED ELETTRONICI Classe 3a AITIS Classe 4a AITIS... 7

1 TECNOLOGIE E PROGETTAZIONE DI SISTEMI ELETTRICI ED ELETTRONICI Classe 3a AITIS Classe 4a AITIS... 7 PROGRAMMAZIONE DIDATTICO DISCIPLINARE ITIS GIORDANO BRUNO BUDRIO INDIRIZZO: ELETTRONICA ED ELETTROTECNICA ARTICOLAZIONE: ELETTRONICA 1 TECNOLOGIE E PROGETTAZIONE DI SISTEMI ELETTRICI ED ELETTRONICI...

Dettagli

REGOLAMENTO DI CORSO DI LAUREA SPECIALISTICA. Laurea Specialistica in Ingegneria Elettronica Sede di Bologna Ingegneria 32/S Ingegneria elettronica

REGOLAMENTO DI CORSO DI LAUREA SPECIALISTICA. Laurea Specialistica in Ingegneria Elettronica Sede di Bologna Ingegneria 32/S Ingegneria elettronica Regolamento del C in Ingegneria elettronica Sede di Bologna P. 1/10 REGOLAMENTO DI CORSO DI LAUREA SPECIALISTICA CORSO DI STUDIO: FACOLTÀ: CLASSE: Laurea Specialistica in Ingegneria Elettronica Sede di

Dettagli

PECUP SECONDO BIENNIO terzo anno Meccanica, Meccatronica ed Energia - Articolazione: Meccanica e Meccatronica

PECUP SECONDO BIENNIO terzo anno Meccanica, Meccatronica ed Energia - Articolazione: Meccanica e Meccatronica PECUP SECONDO BIENNIO terzo anno Meccanica, Meccatronica ed Energia - Articolazione: Meccanica e Meccatronica TECNOLOGIE MECCANICHE DI PROCESSO E DI PRODOTTO SECONDO BIENNIO MACRO-COMPETENZA: definire,

Dettagli

MISSION. Consulenza, Competenza ed Esperienza sono i valori che CADLOG mette a vostra disposizione.

MISSION. Consulenza, Competenza ed Esperienza sono i valori che CADLOG mette a vostra disposizione. y o u r e d a s o l u t i o n p r o v i d e r MISSION La mission di CADLOG è fornire gli strumenti e i servizi necessari al controllo e all ottimizzazione del progetto e della produzione nell industria

Dettagli

Architettura dei Calcolatori

Architettura dei Calcolatori Architettura dei Calcolatori Williamette Core (0.18µm) Northwood Core (0.13µm) Dothan Core (0.09µm) Conroe Core (0.065µm) Penryn Core (0.045µm) 217 mm 2 m/42mtr 145 mm 2 /55Mtr 84 mm 2 /140Mtr 143 mm 2

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" - ALBA (CN) ANNO SCOLASTICO 2015/2016

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI - ALBA (CN) ANNO SCOLASTICO 2015/2016 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" - ALBA (CN) ANNO SCOLASTICO 2015/2016 CLASSE: 3 I articolazione Automazioni ITI indirizzo Elettronica ed Elettrotecnica Disciplina: Tecnologia e Progettazione

Dettagli

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni FACOLTA : INGEGNERIA CORSO DI LAUREA: INFORMATICA INSEGNAMENTO: CONTROLLI DIGITALI Modulo 1 NOME DOCENTE: Prof. Giovanni Fedecostante indirizzo e-mail: giovanni.fedecostante@uniecampus.it orario ricevimento

Dettagli

Low Power Design Alberto Scandurra

Low Power Design Alberto Scandurra Low Power Design Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy Agenda Il problema del consumo di potenza nei SoC Origine del consumo

Dettagli

metodi di conversione, tipi di conversioni e schemi Alimentatori lineari

metodi di conversione, tipi di conversioni e schemi Alimentatori lineari Elettronica per l informatica 1 Contenuto dell unità D Conversione dell energia metodi di conversione, tipi di conversioni e schemi Alimentatori lineari componentistica e tecnologie riferimenti di tensione,

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Tipi di elaboratori Rappresentazione della informazione Codifica della informazione

Tipi di elaboratori Rappresentazione della informazione Codifica della informazione Contenuti del corso Introduzione all informatica L elaboratore Tipi di elaboratori Rappresentazione della informazione Codifica della informazione HW dell elaboratore SW dell elaboratore 1 Tipi di Elaboratori

Dettagli

IC Test & Design for Testability

IC Test & Design for Testability IC Test & Design for Testability Collaudo (testing) dei sistemi digitali Realizzazione di sistemi digitali (VLSI) Collaudo e verifica Collaudo ideale e collaudo reale Costo del collaudo Ruolo del collaudo

Dettagli

Programmazione Modulare

Programmazione Modulare Programmazione Modulare Indirizzo: Elettronica ed Elettrotecnica Disciplina: Tecnologie e Progettazione di Sistemi Elettrici ed Elettronici Classi: III A Ore settimanali previste: 5 (3 ore Teoria - 2 ore

Dettagli

Porte logiche in tecnologia CMOS

Porte logiche in tecnologia CMOS Porte logiche in tecnologia CMOS Transistore MOS = sovrapposizione di strati di materiale con proprietà elettriche diverse tra loro (conduttore, isolante, semiconduttore) organizzati in strutture particolari.

Dettagli

Università di Pisa Facoltà di Scienze Matematiche Fisiche e Naturali

Università di Pisa Facoltà di Scienze Matematiche Fisiche e Naturali Facoltà di Scienze Matematiche Fisiche e Naturali Corso di Laurea Specialistica in Informatica (classe 23/S: Informatica) Corso di Laurea Specialistica in Tecnologie Informatiche (classe 23/S: Informatica)

Dettagli

Elettronica I. Corso di Laurea in Ingegneria Elettronica e Informatica - A.A. 2014/2015. Gino Giusi

Elettronica I. Corso di Laurea in Ingegneria Elettronica e Informatica - A.A. 2014/2015. Gino Giusi Elettronica I Corso di Laurea in Ingegneria Elettronica e Informatica - A.A. 2014/2015 Gino Giusi Università degli Studi di Messina Dipartimento di Ingegneria Elettronica, Chimica e Ingegneria Industriale

Dettagli