Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO"

Transcript

1 Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS ALTERA Max EPM7128SLC84-15 Olympus C-40 zoom Alimentatore stabilizzato con tensione variabile 0 20 V su due canali accoppiabili ALTERA University Program Fotocamera digitale con sensore 4 Mpixel Descrizione sintetica degli obiettivi Realizzazione della logica di gestione di un impianto semaforico per un incrocio semplice, con due diversi linguaggi : - linguaggio grafico : permette di effettuare descrizioni hardware tramite uno schema logico - linguaggio VHDL : permette di descrivere hardware tramite un codice Attraverso l apposito software MAX Plus II, sarà poi possibile effettuare le seguenti operazioni: - simulazione grafica attraverso il tool Waveform - download del firmware sulla scheda ALTERA Max EPM7128SLC84-15 con le successive considerazioni dei risultati ottenuti Nell ultima parte della relazione si è costruito il blocco logico di decodifica utilizzando il procedimento delle macchine a stati. 1 Blocco semaforico 1.1 Caratteristiche La durata di accensione delle luci dell impianto che si vuole realizzare è la seguente: 10 secondi per la luce semaforica rossa; 9 secondi per la luce semaforica verde ; 1 secondo per la luce semaforica gialla ; Qui in seguito è invece indicata la sequenza temporale di accensione e spegnimento delle sei luci che compongono l impianto semaforico Page 1 of 10

2 1.2 Divisione in frequenza La scheda utilizzata possiede un Global Clock, interno, ad una frequenza elevata pari a 25MHz. Poiché bisogna gestire la durata dell accensione e dello spegnimento delle singole luci semaforiche in termini di secondi, si è dovuta ridurre la frequenza di Global Clock e adattarla il più possibile alle nostre esigenze. Si è dovuto realizzare un rapporto di riduzione pari a: 25MHz 2 1Hz dove una frequenza di 1Hz corrisponde ad un periodo di un secondo, e n indica il numero di flip-flop necessari da collegare in cascata. Il numero di flip-flop risultante che realizza il divisore di frequenza, è stato approssimato per difetto ed è pari a ventiquattro. Inizialmente si è creato un divisore che riduce la frequenza di sedici volte, mediante quattro flip-flop. n Per comodità poi, questi quattro flip-flop sono stati racchiusi in un unico blocco logico che è stato successivamente utilizzato sei volte. Page 2 of 10

3 Page 3 of 10

4 1.3 Logica combinatoria Per determinare gli istanti di accensione e spegnimento delle luci semaforiche, si è utilizzato un contatore il quale viene incrementato ad ogni colpo di clock. In uscita da questo si ottiene una codifica del tempo trascorso su cinque bit come segue: Cod. DECIMALE Cod. BINARIA Semaforo #1 Rosso_1 Giallo_1 Verde_1 Si è pensato di codificare il periodo dei 10 secondi iniziali analizzando solo i due bit più significativi per i primi otto a intervalli ai quali si è aggiunta l intera codifica del nono e del decimo Si è codificata la sequenza di bit corrispondente al diciannovesimo intervallo temporale Si sono codificati gli intervalli undicesimo e dodicesimo per intero; gli intervalli dal dodicesimo escluso al sedicesimo compreso considerando i tre bit più significativi; gli ultimi tre intervalli sono codificati con il bit più significativo, curandosi di escludere il diciannovesimo intervallo Semaforo #2 Rosso_2 Giallo_2 Verde_2 Si è codificata la sequenza di bit corrispondente al decimo intervallo Negazione della fase di accensione di Rosso_1 Si sono codificati i primi otto intervalli considerando i due bit più significativi, e il nono a parte 2 Circuito A questo punto si è passati alla realizzazione del sistema semaforico in due differenti modi: Metodo 1: attraverso le normali funzioni logiche offerte da MAX Plus II Metodo 2: attraverso l utilizzo del linguaggio hardware VHDL che ha reso possibile la realizzazione in tempi ridotti del medesimo progetto Page 4 of 10

5 2.1 Circuito logico (I) Page 5 of 10

6 2.2 Circuito logico (II) Da ricordare è che nello schema finale, sono state aggiunte delle porte not davanti a tutte le sei uscite per tener conto del fatto che i diodi led, implementati sulla scheda ALTERA, sono realizzati con una configurazione di tipo pull-up. Il blocco INCROCIO, è stato realizzato a partire dal seguente file.vhd Page 6 of 10

7 dove: Entity Architecture Tale funzione descrive l interfaccia esterna del blocco logico incrocio. Num è l ingresso che può assumere un valore compreso tra 0 e 19. Le uscite: Rosso1, Rosso2, Verde1, etc sono codificate su di un singolo bit. Tale funzione descrive la funzionalità del blocco logico incrocio. All interno di questa si è indicato in quali istanti ogni luce semaforica deve essere accesa o spenta. Il blocco DIV_FREQ4 invece, era invece il blocco contenente la serie di flip-flop precedentemente illustrata. 3 Simulazione 3.1 Waveform Prima di scaricare sulla scheda il programma, se ne è simulato il comportamento, attraverso il Waveform di MAX Plus II visualizzando più cicli: Page 7 of 10

8 4 Prova al banco 4.1 Download firmware Una volta alimentata la scheda a +9V, si è passati alla fase di scaricamento del firmware attraverso il cavo di interfaccia opportunamente collegata con il PC attraverso la porta parallela. Anche in questa fase occorre però alimentare la scheda ad una tensione continua di +9V. Onde evitare sbalzi di tensione tali da poter danneggiare la scheda, occorre ricordare sempre di collegare tutti i cavi, prima di alimentare il tutto. 4.2 Piedinatura chip Una volta compilato il file.gdf contenente il circuito dell impianto semaforico, si è fatto riferimento alla piedinatura della MAX Flex, in modo da poter collegare con i giusti riferimenti, la varie uscite. Page 8 of 10

9 5 Analisi risultati 5.1 Delay Matrix E stata fatta una verifica sui vari tempi di ritardo che il circuito realizzato presentava, attraverso il Timing Analyzer. Si è potuto riscontrare come entrambi i segnali di uscita commutassero stato logico dopo 151ns dalla commutazione di stato del clock. Inoltre è stata eseguita una ulteriore verifica sempre attraverso il Timing Analyzer di MAX Plus II. E stata quindi verificata la massima frequenza di funzionamento del circuito la quale è di MHz. Page 9 of 10

10 6 Semaforo attraverso le macchine a stati 6.1 Schema 6.2 Descrizione SEM_MACHINE Page 10 of 10

Topward electronics TPS ALTERA Max EPM7128SLC84-15

Topward electronics TPS ALTERA Max EPM7128SLC84-15 Esercitazione : CONVERTITORE A/D Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max EPM7128SLC84-15 Olympus

Dettagli

Contatore asincrono esadecimale

Contatore asincrono esadecimale Contatore asincrono esadecimale Il contatore asincrono è un circuito composto da un generatore di onde quadre (clock), quattro Flip Flop JK con Preset e Clear attivi a fronte logico basso. Preset, J e

Dettagli

Esercizio 1. semaforo verde semaforo rosso T V1 VG 1. semaforo verde-giallo semaforo rosso T G V 2. semaforo rosso semaforo verde T V2 VG 2

Esercizio 1. semaforo verde semaforo rosso T V1 VG 1. semaforo verde-giallo semaforo rosso T G V 2. semaforo rosso semaforo verde T V2 VG 2 Esercizio 1 Il sistema di controllo di un impianto semaforico posto all incrocio di due strade deve operare secondo due distinte modalità di funzionamento, selezionate rispettivamente dal valore logico

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

PROGETTO,COLLAGGIO E VERIFICA DEL FUNZIONAMENTO DI UN CIRCUITO COMBINATORIO E VISUALIZZAZIONE DELLO STATO LOGICO DELLE USCITE MEDIANTE DIODI LED.

PROGETTO,COLLAGGIO E VERIFICA DEL FUNZIONAMENTO DI UN CIRCUITO COMBINATORIO E VISUALIZZAZIONE DELLO STATO LOGICO DELLE USCITE MEDIANTE DIODI LED. A cura dell alunno Nicola Braile della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda Insegnante

Dettagli

Esercitazioni di Reti Logiche. Lezione 5

Esercitazioni di Reti Logiche. Lezione 5 Esercitazioni di Reti Logiche Lezione 5 Circuiti Sequenziali Zeynep KIZILTAN zeynep@cs.unibo.it Argomenti Circuiti sequenziali Flip-flop D, JK Analisi dei circuiti sequenziali Progettazione dei circuiti

Dettagli

Esercitazione di laboratorio n. 2

Esercitazione di laboratorio n. 2 Esercitazione di laboratorio n. 2 Argomento dell esercitazione Progetto di circuiti combinatori. L esercitazione è composta di tre esercizi: progetto di un Full Adder da 1 bit (esercizio 1), e suo riutilizzo

Dettagli

POLITECNICO DI BARI! DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE!

POLITECNICO DI BARI! DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE! POLITECNICO DI BARI DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE Ingegneria Informatica e dell Automazione FONDAMENTI DI ELETTRONICA - SECONDA PROVA DI LABORATORIO Prof. Daniela De Venuto Strumentazione

Dettagli

Esercitazione 4: Sintetizzatore di frequenza con PLL

Esercitazione 4: Sintetizzatore di frequenza con PLL Esercitazione 4: Sintetizzatore di frequenza con PLL 1. Informazioni generali 1.1 Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Verificare il comportamento di un PLL - Determinare

Dettagli

COMPITO A. Esercizio 1 (17 punti)

COMPITO A. Esercizio 1 (17 punti) Esercizio (7 punti) COMPITO A Si hanno a disposizione due registri sorgente S e S da 6 bit che contengono reali memorizzati in rappresentazione a virgola mobile normalizzata : il primo bit (b ) rappresenta

Dettagli

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per:

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: INTRODUZIONE AI CONTATORI Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: o Conteggio di eventi o Divisione di frequenza o Temporizzazioni Principi

Dettagli

EFFETTI LUMINOSI DI SCORRIMENTO DI LUCI OTTENUTI MEDIANTE UN GENERATORE DI SEQUENZE.

EFFETTI LUMINOSI DI SCORRIMENTO DI LUCI OTTENUTI MEDIANTE UN GENERATORE DI SEQUENZE. A cura dell alunna Alessia Focà della classe V sez. A ndirizzo nformatica Sperimentazione ABAUS Dell stituto Tecnico ndustriale Statele A. Monaco di osenza Supervisore Prof. Giancarlo Fionda nsegnante

Dettagli

Reti Logiche (Nettuno) Test di autovalutazione del 19/5/94

Reti Logiche (Nettuno) Test di autovalutazione del 19/5/94 Test di autovalutazione del 19/5/94 Al fine di rilevare errori di trasmissione, un dato numerico compreso tra 0 e 9 viene trasmesso utilizzando il cosiddetto codice 2 su 5, ossia trasmettendo 5 bit nei

Dettagli

Generatore di onda quadra e contatore asincrono

Generatore di onda quadra e contatore asincrono Queste istruzioni sono scaricabili da http://areeweb.polito.it/didattica/corsiddc/03moa. Generatore di onda quadra e contatore asincrono Scopo dell esercitazione Gli obiettivi di questa esercitazione sono:

Dettagli

Circuiti di commutazione, codifica e decodifica

Circuiti di commutazione, codifica e decodifica Circuiti di commutazione, codifica e decodifica Vediamo ora i più comuni circuiti per la codifica, decodifica e commutazione di informazioni rappresentate sotto forma binaria. Tali circuiti costituiscono

Dettagli

I CONTATORI. Definizioni

I CONTATORI. Definizioni I CONTATORI Definizioni. I contatori sono dispositivi costituiti da uno o più flip-flop collegati fra loro in modo da effettuare il conteggio di impulsi applicati in ingresso. In pratica, i flip-flop,

Dettagli

DC3SEM2LT Kit Impianto Semaforico 3 Semafori 2 Luci automatici

DC3SEM2LT Kit Impianto Semaforico 3 Semafori 2 Luci automatici DC3SEM2LT Kit Impianto Semaforico 3 Semafori 2 Luci automatici CENTRALINA DI CONTROLLO MOD. DC3SEM2LT Caratteristiche di funzionamento: Alimentazione: 230V 50 Hz; 5 VA 1 uscita tensione ausiliaria (per

Dettagli

Registri. Registri semplici

Registri. Registri semplici Registri Registri semplici........................................ 795 Registri a scorrimento................................... 797 Contatori asincroni con flip-flop T........................798 Contatori

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequenziali sincrone ESERCIZIO N. Si esegua la sintesi di una rete sequenziale sincrona caratterizzata da un unico segnale di ingresso (X) e da un unico segnale di uscita (Z),

Dettagli

5. Esercitazioni di laboratorio

5. Esercitazioni di laboratorio 5. Esercitazioni di laboratorio 5.1 Controllo di temperatura con LM335 Viene proposto il progetto di un attuatore, il quale avvii un dispositivo di potenza quando la temperatura misurata scende al di sotto

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Parametri statici e dinamici Circuiti logici combinatori Circuiti logici sequenziali Registri, contatori e circuiti sequenziali Esempi

Dettagli

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1.

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1. PORTE LOGICHE Premessa Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei

Dettagli

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome ESAME di PROGETTAZIONE di SISTEMI DIGITALI 11 Febbraio 2016 FILA A Nome e Cognome Esercizio 1 (6 punti). Si considerino otto registri R 1... R 8. Si progetti una rete di interconnessione tale che: se R

Dettagli

Collaudo statico di un ADC

Collaudo statico di un ADC Collaudo statico di un ADC Scopo della prova Verifica del funzionamento di un tipico convertitore Analogico-Digitale. Materiali 1 Alimentatore 1 Oscilloscopio 1 Integrato ADC 0801 o equivalente Alcuni

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO B8 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 22/10/2013-1 ElapB8-2013 DDC Page 1 2013 DDC 1 Come

Dettagli

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno Progetto di Contatori sincroni Mariagiovanna Sami Corso di reti Logiche 8 Anno 08 Introduzione Per le reti sequenziali esistono metodologie di progettazione generali, che partendo da una specifica a parole

Dettagli

I REGISTRI. Reti autonome e contatori

I REGISTRI. Reti autonome e contatori I REGISTRI Il flip-flop Fc carica il valore di F a ogni impulso c. Si può allora dotare la rete di un nuovo ingresso A, che "abilita" (A=1) il caricamento di F, o blocca (A=0) il flip-flop sul suo stato

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

Modulo di uscite di sicurezza AS-i con slave di diagnostica

Modulo di uscite di sicurezza AS-i con slave di diagnostica uscite di sicurezza, ingressi di sicurezza e ingressi standard in un unico modulo 4 x uscite di sicurezza elettroniche veloci ingressi di sicurezza di -canali, regolabile per contatti senza potenziale

Dettagli

PROGETTO E VERIFICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON FLIP-FLOP JK.

PROGETTO E VERIFICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON FLIP-FLOP JK. PROGETTO E VERIICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON LIP-LOP JK. Definizione della funzione logica Ci proponiamo la realizzazione

Dettagli

Esercizi sulle Reti Sequenziali Sincronizzate

Esercizi sulle Reti Sequenziali Sincronizzate Esercizi sulle Reti Sequenziali Sincronizzate Corso di Laurea di Ing. Gestionale e di Ing. delle Telecomunicazioni A.A. 27-28 1. Disegnare il grafo di stato di una RSS di Moore avente tre ingressi A, B,

Dettagli

I.T.I. Modesto PANETTI B A R I

I.T.I. Modesto PANETTI B A R I 1 I.T.I. Modesto PANETTI B A R I Via Re David, 186-70125 BARI 080-542.54.12 - Fax 080-542.64.32 Intranet http://10.0.0.222 - Internet http://www.itispanetti.it email : BATF05000C@istruzione.it A.S. 2007/2008

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 RETI LOGICHE: RETI SEUENZIALI Massimiliano Giacomin 1 LIMITI DELLE RETI COMBINATORIE e RETI SEUENZIALI Le reti combinatorie sono senza retroazione: il segnale di

Dettagli

Controllori programmabili serie AC31 Dati applicativi serie 90

Controllori programmabili serie AC31 Dati applicativi serie 90 Dati tecnici aggiuntivi 07 KT 98 In generale vengono considerati validi i dati tecnici del sistema riportati a pag 1/77-78. I dati aggiuntivi o diversi dai dati del sistema sono riportati qui di seguito.

Dettagli

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO E VECCHIO ORDINAMENTO DIDATTICO 28 Settembre 2006

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO E VECCHIO ORDINAMENTO DIDATTICO 28 Settembre 2006 SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI NUOVO E VECCHIO ORDINAMENTO DIDATTICO 28 Settembre 26 MOTIVARE IN MANIERA CHIARA LE SOLUZIONI PROPOSTE A CIASCUNO DEGLI ESERCIZI SVOLTI ESERCIZIO 1 (8 punti)

Dettagli

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita LOGICA SEQUENZIALE Logica combinatoria Un blocco di logica puramente combinatoria è un blocco con N variabili di ingresso e M variabili di uscita che sono funzione (booleana) degli ingressi in un certo

Dettagli

Circuiti sequenziali: macchine a stati finiti

Circuiti sequenziali: macchine a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Circuiti sequenziali: macchine a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di Milano

Dettagli

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A:

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A: ESPERIMENTZIONI DI FISIC 3 Traccia delle lezioni di Elettronica digitale M. De Vincenzi.: 22-23 Contenuto. Sistemi elettrici a 2 livelli 2. lgebra di oole Definizione Sistemi funzionali completi Leggi

Dettagli

I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE

I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE ... I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE di Maurizio Del Corso m.delcorso@farelettronica.com Il nome è senza dubbio simpatico, ma cosa sono i FLIP-FLOP (FF)? Come funzionano? Quale è la

Dettagli

GENERAZIONE DI FUNZIONE LOGICA CON MULTIPLEXER

GENERAZIONE DI FUNZIONE LOGICA CON MULTIPLEXER GENERAZIONE I UNZIONE LOGICA CON MULTIPLEXER Spesso è conveniente utilizzare un multiplexer come generatore di funzione logica al fine di limitare il numero di circuiti integrati che bisognerebbe, altrimenti,

Dettagli

Esercitazione 2 Introduzione a GATESIM

Esercitazione 2 Introduzione a GATESIM Esercitazione 2 Introduzione a GATESIM Gatesim (Logic Gate Simulator) è un simulatore di circuiti logici scritto in in C#/WPF (.NET 3.5 SP1) che permette di creare e simulare semplici circuiti costituiti

Dettagli

Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone

Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone Ing. Gestionale e delle Telecomunicazioni A.A. 2007/08 Gabriele Cecchetti Reti Sequenziali Asincrone Sommario: Definizione Condizioni di pilotaggio

Dettagli

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico ISTITUTO TECNICO STATALE MARCHI FORTI Viale Guglielmo Marconi n 16-51017 PESCIA (PT) - ITALIA PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico Docente PARROTTA GIOVANNI

Dettagli

Metronomo. Progettare l hardware del metronomo, utilizzando come base dei tempi un modulo generatore di clock a 10 MHz. Fig. 1. Fig. 2.

Metronomo. Progettare l hardware del metronomo, utilizzando come base dei tempi un modulo generatore di clock a 10 MHz. Fig. 1. Fig. 2. Metronomo Un metronomo elettronico (Fig. 1) accetta in ingresso tre cifre decimali codificate in BCD per la programmazione del numero di da 16 a 299, e produce in, come, un treno di 8 impulsi spaziati

Dettagli

Indice Introduzione Avviso importante per i lettori Capitolo 1 Apparecchi di manovra, di protezione e sensori

Indice Introduzione Avviso importante per i lettori Capitolo 1 Apparecchi di manovra, di protezione e sensori Indice Introduzione Avviso importante per i lettori Capitolo 1 Apparecchi di manovra, di protezione e sensori 1 1.1 Il teleruttore: caratteristiche e funzionamento 1 1.2 Il teleruttore compatto 2 1.3 I

Dettagli

CIRCUIT MAKER. Il laboratorio Virtuale di Elettronica. Utilità: Progettazione di circuiti. Esecuzione di simulazioni

CIRCUIT MAKER. Il laboratorio Virtuale di Elettronica. Utilità: Progettazione di circuiti. Esecuzione di simulazioni CIRCUIT MAKER Il laboratorio Virtuale di Elettronica Utilità: Progettazione di circuiti Esecuzione di simulazioni 1 La barra dei comandi 1. Crea un nuovo schema Apre uno schema esistente Salva lo schema

Dettagli

PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND.

PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND. PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND. I CIRCUITO Si vuole realizzare il circuito logico della funzione logica rappresentata nella tabella di verità di seguito

Dettagli

GARA NAZIONALE DI ELETTRONICA E TELECOMUNICAZIONI. Progetto di un sistema di riempimento e svuotamento di un contenitore.

GARA NAZIONALE DI ELETTRONICA E TELECOMUNICAZIONI. Progetto di un sistema di riempimento e svuotamento di un contenitore. GARA NAZIONALE DI ELETTRONICA E TELECOMUNICAZIONI PROVA SCRITTA 25 NOVEMBRE 2008 Progetto di un sistema di riempimento e svuotamento di un contenitore. Un acquario situato in appartamento è collegato ad

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Esercitazione 2 I Flip Flop 1. ual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano

Dettagli

La codifica dei numeri

La codifica dei numeri La codifica dei numeri La rappresentazione dei numeri con il sistema decimale può essere utilizzata come spunto per definire un metodo di codifica dei numeri all interno degli elaboratori: la sequenza

Dettagli

Reti Sequenziali. Reti Sequenziali. Corso di Architetture degli Elaboratori

Reti Sequenziali. Reti Sequenziali. Corso di Architetture degli Elaboratori Reti Sequenziali Reti Sequenziali Corso di Architetture degli Elaboratori Caratteristiche 1 Caratteristiche delle reti sequenziali Reti combinatorie: il valore in uscita è funzione (con il ritardo indotto

Dettagli

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali Titolo lezione Fondamenti di informatica II 1 Sintesi di reti logiche sequenziali Reti combinatorie e sequenziali Fondamenti di informatica II 2 Due sono le tipologie di reti logiche che studiamo Reti

Dettagli

SERVIZIO DI MANUTENZIONE ORDINARIA E STRAORDINARIA DEGLI IMPIANTI SEMAFORICI, SUL TERRITORIO DEL COMUNE DI BERGAMO

SERVIZIO DI MANUTENZIONE ORDINARIA E STRAORDINARIA DEGLI IMPIANTI SEMAFORICI, SUL TERRITORIO DEL COMUNE DI BERGAMO SERVIZIO DI MANUTENZIONE ORDINARIA E STRAORDINARIA DEGLI IMPIANTI SEMAFORICI, SUL TERRITORIO DEL COMUNE DI BERGAMO TRASFORMAZIONE DI TUTTI GLI IMPIANTI SEMAFORICI FUNZIONANTI CON LAMPADE AD INCANDESCENZA

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli

Il protocollo RS Introduzione. 1.2 Lo Standard RS-232

Il protocollo RS Introduzione. 1.2 Lo Standard RS-232 1 Il protocollo RS232 1.1 Introduzione Come noto un dispositivo di interfaccia permette la comunicazione tra la struttura hardware di un calcolatore e uno o più dispositivi esterni. Uno degli obiettivi

Dettagli

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali Elettronica dei Sistemi igitali Registri di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Struttura hw del computer

Struttura hw del computer Informatica per laurea triennale facoltà di medicina LEZIONE 3 Il processore, la memoria e l esecuzione dei programmi 1 Struttura hw del computer Il nucleo di un computer è costituito da 3 principali componenti:

Dettagli

INFORMATICA INDUSTRIALE

INFORMATICA INDUSTRIALE INFORMATICA INDUSTRIALE Lezione 8: PLC Controllori Logici Programmabili Prof. Christian Forlani forlani@disco.unimib.it Logica Cablata E possibile realizzare un automatismo con: componenti elettromeccanici

Dettagli

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione.

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. Dispensina per gli studenti di Ingegneria Gestionale. A.A.

Dettagli

"Centrale per risparmio energetico Mod. R01"

Centrale per risparmio energetico Mod. R01 SCHEDA TECNICA "Centrale per risparmio energetico Mod. R01" La presente relazione descrive le caratteristiche ed il funzionamento hardware e software del prototipo in oggetto, atto a limitare gli sprechi

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni Le macchine digitali Le Macchine digitali sono Sistemi artificiali che elaborano informazioni ogni informazione è descritta da variabili che possono assumere solo un numero finito di valori Ad ogni variabile

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Informatica. Mario Pavone - Dept. Mathematics & Computer Science - University of Catania. Trasferimento. Ambiente esterno.

Informatica. Mario Pavone - Dept. Mathematics & Computer Science - University of Catania. Trasferimento. Ambiente esterno. Trasferimento Ambiente esterno Controllo Informatica Mario Pavone - Dept. Mathematics & Computer Science - University of Catania mpavone@dmi.unict.it Cos è l Informatica La scienza della rappresentazione

Dettagli

Università degli Studi di Cassino

Università degli Studi di Cassino di assino orso di alcolatori Elettronici I Elementi di memoria e registri Anno Accademico 27/28 Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario utilizzare

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

AXO - Architettura dei Calcolatori e Sistema Operativo. organizzazione strutturata dei calcolatori

AXO - Architettura dei Calcolatori e Sistema Operativo. organizzazione strutturata dei calcolatori AXO - Architettura dei Calcolatori e Sistema Operativo organizzazione strutturata dei calcolatori I livelli I calcolatori sono progettati come una serie di livelli ognuno dei quali si basa sui livelli

Dettagli

Capitolo IX. Convertitori di dati

Capitolo IX. Convertitori di dati Capitolo IX Convertitori di dati 9.1 Introduzione I convertitori di dati sono circuiti analogici integrati di grande importanza. L elaborazione digitale dei segnali è alternativa a quella analogica e presenta

Dettagli

Macchine Sequenziali

Macchine Sequenziali Macchine Sequenziali Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Limiti delle reti combinatorie Ogni funzione di n variabili

Dettagli

STRUMENTO ELETTRONICO DI PESATURA

STRUMENTO ELETTRONICO DI PESATURA STRUMENTO ELETTRONICO DI PESATURA WST MANUALE D INSTALLAZIONE.Pag. 2 MANUALE OPERATIVO.Pag. 10 CODICE SOFTWARE: PWST01 VERSIONE: Rev.0.7 Alimentazione Assorbimento Isolamento Categoria d installazione

Dettagli

Laboratorio di Elettronica Dispositivi elettronici e circuiti Linee di trasmissione Proprieta' e fenomenologia dei semiconduttori. Dispositivi a semiconduttore: * diodo a giunzione * transistor bjt * transistor

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Sequenziali Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico 2007/2008 Sintesi dei circuiti sequenziali

Dettagli

VERIFICA DEL FUNZIONAMENTO DI CONTATORI ASINCRONI E VISUALIZZAZIONE DEL CONTEGGIO SU VISUALIZZATORE A LED A 7 SEGMENTI

VERIFICA DEL FUNZIONAMENTO DI CONTATORI ASINCRONI E VISUALIZZAZIONE DEL CONTEGGIO SU VISUALIZZATORE A LED A 7 SEGMENTI A cura dell alunna Martina Covelli della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda

Dettagli

SCHEDA ALTERA UP1. Topografia della scheda UP1

SCHEDA ALTERA UP1. Topografia della scheda UP1 SCHEDA ALTERA UP1 (tratto da: Guida alle esercitazioni di laboratorio per il corso di Elettronica delle Telecomunicazioni, AA 2001-2002; D. Del Corso, M. Chiaberge, C. Sansoè) La scheda UP1 è descritta

Dettagli

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli

Componenti di un processore

Componenti di un processore Componenti di un processore Unità di Controllo Bus Interno REGISTRI Program Counter (PC) Registro di Stato (SR) Registro Istruzioni (IR) Registri Generali Unità Aritmetico- Logica Registro Indirizzi Memoria

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Domotica con Arduino. Prima di proseguire con la descrizione del progetto, elenco, di seguito, il materiale utilizzato:

Domotica con Arduino. Prima di proseguire con la descrizione del progetto, elenco, di seguito, il materiale utilizzato: Domotica con Arduino Questo progetto, che chiamo sinteticamente come da titolo, si occupa di gestire l accensione e lo spegnimento di elettrodomestici e illuminazione, attraverso una semplice interfaccia

Dettagli

DIAGRAMMI TEMPORALI relativi all'esecuzione di una istruzione e agli accessi alla memoria:

DIAGRAMMI TEMPORALI relativi all'esecuzione di una istruzione e agli accessi alla memoria: DIAGRAMMI TEMPORALI relativi all'esecuzione di una istruzione e agli accessi alla memoria: 1 Memoria centrale: è costituita da una sequenza ordinata di registri; ciascun registro è individuato da un indirizzo;

Dettagli

Motori Motore passo-passo Stadio di potenza PWM Sincrono Stadio di potenza del motore passopasso. Blocchi funzionali. Set point e generatore PWM

Motori Motore passo-passo Stadio di potenza PWM Sincrono Stadio di potenza del motore passopasso. Blocchi funzionali. Set point e generatore PWM RC1 Blocchi funzionai Motori a corrente continua Generatori Circuiti per il controllo dei motori in CC Motori a corrente alternata Circuiti per il controllo dei motori in CA Motori passo-passo Circuiti

Dettagli

Wi-Fi Sirena SWF-01. Il Passaporto. Il numero di riferimento del dispositivo

Wi-Fi Sirena SWF-01. Il Passaporto. Il numero di riferimento del dispositivo Wi-Fi Sirena SWF-01 Il Passaporto Il numero di riferimento del dispositivo 1. Dati Generali 2 Wi-Fi Sirena SWF-01 (di seguito - la sirena) è l attuatore collegato ai dispositivi di sorveglianza prodotti

Dettagli

ELETTRONICA DIGITALE - A.A

ELETTRONICA DIGITALE - A.A ELETTRONICA DIGITALE - A.A. 2013-2014 Franco Meddi: E-mail franco.meddi@roma1.infn.it Studio Edificio Marconi 3-zo piano (M324) Telefono 06 49914416 1 Elettronica Digitale A.A. 2013-2014 Laurea Magistrale

Dettagli

Vogliamo far lampeggiare i led collegati come in figura ai bit della porta D del PIC 18F8722 presente sulla demo board in dotazione al laboratorio.

Vogliamo far lampeggiare i led collegati come in figura ai bit della porta D del PIC 18F8722 presente sulla demo board in dotazione al laboratorio. Lampeggio di 8 led Vogliamo far lampeggiare i led collegati come in figura ai bit della porta D del PIC 18F8722 presente sulla demo board in dotazione al laboratorio. Bit7 Bit6 Bit5 Bit4 Bit3 Bit2 Bit1

Dettagli

Il processore. Istituzionii di Informatica -- Rossano Gaeta

Il processore. Istituzionii di Informatica -- Rossano Gaeta Il processore Il processore (detto anche CPU, ovvero, Central Processing Unit) è la componente dell unità centrale che fornisce la capacità di elaborazione delle informazioni contenute nella memoria principale

Dettagli

A.S. 2015/16 CLASSE 5 AEE MATERIA: LABORATORIO DI T.P.S.E.

A.S. 2015/16 CLASSE 5 AEE MATERIA: LABORATORIO DI T.P.S.E. A.S. 2015/16 CLASSE 5 AEE MATERIA: LABORATORIO DI T.P.S.E. UNITA DI APPRENDIMENTO 1: AMPLIFICATORI OPERAZIONALI Essere capace di progettare le principali configurazioni circuitali con op-amp. Caratteristiche

Dettagli

ABB Drives. Manuale utente Interfaccia encoder TTL FEN-01

ABB Drives. Manuale utente Interfaccia encoder TTL FEN-01 ABB Drives Manuale utente Interfaccia encoder TTL FEN-0 Interfaccia encoder TTL FEN-0 Manuale utente 3AFE68794587 Rev C IT VALIDITÀ: 0.04.007 007 ABB Oy. Tutti i diritti riservati. 5 Sicurezza Panoramica

Dettagli

ISTITUTO DI ISTRUZIONE SUPERIORE J.C. MAXWELL Data: 24 /9 /2016 Pag. 1di 5. PROGRAMMAZIONE ANNUALE A.S. 2016/ 2017 MECCANICA e MECCATRONICA

ISTITUTO DI ISTRUZIONE SUPERIORE J.C. MAXWELL Data: 24 /9 /2016 Pag. 1di 5. PROGRAMMAZIONE ANNUALE A.S. 2016/ 2017 MECCANICA e MECCATRONICA ISTITUTO DI ISTRUZIONE SUPERIORE J.C. MAXWELL Data: 24 /9 /2016 Pag. 1di 5 INDIRIZZO SCOLASTICO DISCIPLINA DOCENTE / I CLASSE / I PROGRAMMAZIONE ANNUALE A.S. 2016/ 2017 MECCANICA e MECCATRONICA X MANUTENZIONE

Dettagli

Progetto di un Interruttore a Combinazione

Progetto di un Interruttore a Combinazione Università di Lecce Diploma Universitario in Ingegneria Informatica Corso di Elettronica II Studente Angelo D Agnano matr. 9N/63 Progetto di un Interruttore a Combinazione Scopo del circuito proposto è

Dettagli

Misure su linee di trasmissione

Misure su linee di trasmissione Appendice A A-1 A-2 APPENDICE A. Misure su linee di trasmissione 1) Misurare, in trasmissione o in riflessione, la lunghezza elettrica TL della linea. 2) Dal valore di TL e dalla lunghezza geometrica calcolare

Dettagli

Regolatore / Convertitore Tipo VMM

Regolatore / Convertitore Tipo VMM 1/5 istruzioni _variac03-05c Premessa Regolatore / Convertitore Tipo VMM Sintetica descrizione allacciamenti e segnalazioni, Ingressi e Uscite Questa apparecchiatura per esigenze di mercato è stata ingegnerizzata

Dettagli

Contatori Elettronici frequenzimetri

Contatori Elettronici frequenzimetri Facoltà di Ingegneria Università degli Studi di Firenze Dipartimento di Elettronica e Telecomunicazioni Contatori Elettronici frequenzimetri Ing. Andrea Zanobini Dipartimento di Elettronica e Telecomunicazioni

Dettagli

Cos'è Arduino? rilasciata con licenza di tipo open source.

Cos'è Arduino? rilasciata con licenza di tipo open source. Arduino Base Cos'è Arduino? scheda elettronica che può essere programmata per ricevere input da diversi sensori e che può interagire con il mondo esterno attraverso il controllo di dispositivi attuatori

Dettagli

1 uscita di relè di sicurezza 1.24 NC 1.13 ASI NC 1.14 ASI

1 uscita di relè di sicurezza 1.24 NC 1.13 ASI NC 1.14 ASI Sicurezza e standard I/O in un unico modulo Uscita di relè AS-i di sicurezza con set di contatti galvanicamente separati, fino a 230 V IEC 61 508 SIL 3, EN 13 849-1/PLe cat 4, EN IEC 62 061 SIL 3 Grado

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Architettura degli Elaboratori Rappresentazione dell Informazione Barbara Masucci Cosa studiamo oggi Ø Un moderno elaboratore è un sistema elettronico digitale programmabile Ø Il suo comportamento è flessibile

Dettagli

MANUALE D USO. ZDRF-0912C Modulatore RF a banda larga VHF/ UHF

MANUALE D USO. ZDRF-0912C Modulatore RF a banda larga VHF/ UHF MANUALE D USO ZDRF-0912C Modulatore RF a banda larga VHF/ UHF DESCRIZIONE Il modulatore ZDRF-0912C è destinato alla creazione di canali TV da standard B/D/N nella gamma VHF1, standard B/D/I/L/M/N nella

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

2 storage mechanisms positive feedback charge-based

2 storage mechanisms positive feedback charge-based Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based Positive Feedback: Bi-Stability V i V o = V i 2 V o2 V o2

Dettagli