METODOLOGIE PROGETTUALI CMOS

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "METODOLOGIE PROGETTUALI CMOS"

Transcript

1 METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione può essere fatta a diversi livelli, sostanzialmente Architetturale Logico/RTL Fisico Diagramma a Y Un buon progetto richiede descrizioni consistenti nei tre domini e ai vari livelli 92

2 Parametri di valutazione di un progetto Prestazioni (velocità, dissipazione, funzionalità, flessibilità) Dimensioni Tempo di progettazione Testabilità Trade off tra prestazioni, costi di progettazione, tempi, ecc. Gli aiuti alla progettazione sono programmi di simulazione e sintesi, dopo processi di astrazione e semplificazione. Le scelte finali sono legate esclusivamente all aspetto economico. Strategie di progettazione strutturata Gerarchia Divisione di un modulo in submoduli Possibilità di ripartire il lavoro tra più progettisti Vale nei tre domini Modularità Uso di moduli correttamente formati (I/O, alimentazione) Es. : Standard cell Regolarità Uso di strutture regolari data path, iterazione Località Riduzione delle variabili esterne ai moduli rispetto alle interne 93

3 ESEMPIO Motore di differenze parte di un sistema grafico, p.e. generazione di vettori. S1=0 S2=0 C=C±A S1=1 S2=0 C=C±B S1=X S2=1 C=INIT Gerarchia: scomposizione di DIFFENG in moduli 1^ ipot.: MUX, REG,.. 2^ ipot.: Bit slices Regolarità: blocchi di base simili MUX e REG con inverter uguali Modularità: interfacce ben definite Località: Clock locale percorsi critici interni al modulo Pochi segnali intermoduli 94

4 OPZIONI PROGETTUALI CMOS In ordine di complessità e di costi progettuali crescenti Logiche programmabili Sea of gate and Gate Array Standard cell Full custom Le logiche programmabili si possono distinguere in Strutture programmabili Interconnessioni programmabili Gate array riprogrammabili Le strutture programmabili sono i PAL, Programmable Array Logic, e i PLD, Programmable Logic Devices. In genere sono implementati con piani AND-OR. La figura seguente illustra un tipico PAL. 95

5 PAL 22V10 Tempi tipici per tecnologia veloce CMOS sono T q =8 ns e T d =13 ns a frequenze dell ordine di 40 MHz. I collegamenti dei piani AND-OR sono realizzati secondo i casi con fusibili, EPROM e EEPROM 96

6 Interconnessioni programmabili Actel PLICE., Programmable Low-Impedance Circuit Element, che è un Antifuse celle con tre MUX a due ingressi e un NOR a due ingressi Gate array riprogrammabili :ALTERA E XILINX L architettura di XILINX è riprtata in figura matrice di CLB (Configurable Logic Block), porte di I/O e canali orizzontali e verticali per il routing. Interconnessioni con pass transistor il cui stato è definito da una SRAM. Look-up table in serie più recenti 97

7 L FPGA Altera ha un architettura simile, basata su celle tipiche (LUT, registro D, I/O che consente di collegare più celle) e inoltre Fast interconnect Collegamenti locali Struttura elementi logici EAB: fino a 4 Kbit RAM (Embedded Array Block) 98

8 Sea of gates e gate array Linee continue di diffusioni N e P Connessioni metalliche sopra i transistor non usati Linee interrotte polarizzando PMOS a Vdd e NMOS a Vss 2-5 maschere per la personalizzazione fino a tre livelli di metallo, via, contatti 99

9 Standard cell Logica SSI (NAND, NOR; INV, ) Logica MSI (codificatori,decodificatori, contatori, sommatori, ) Datapath (ALU, register Files, ) Memorie Sottosistemi (moltiplicatori, microcontrollori, ecc.) Esempi: Standard cell di LSI Logic Full Custom Utilizzato solo per problemi particolari e che non necessitino di molti transistor Gestione del layout complessa e affidata a CAD 100

10 METODOLOGIE PROGETTUALI Normalmente Comportamentale RTL livello logico layout Possibile il passaggio diretto da comportamentale a sintesi (Gate Array, Standard cell, Sea of gates, FPGA ). Sintesi comportamentale Il sintetizzatore Parte da una descrizione indipendente dalla tecnologia possibile assegnando dei vincoli architetturali Synopsis Riconosce le funzioni corrispondenti al comportamento Assegna le risorse sulla base della velocità richiesta e dell area Inserisce se necessario registri di pipeline Sintesi RTL Cattura del progetto e trasformazione in registri più logica combinatoria Uso di HDL per individuare e catturare o Flusso di progetto (if-then-else) o Gerarchia e iterazione o Operazioni sequenziali e parallele o Operazioni aritmetiche e logiche o Localizzazione dei registri o Lunghezza di parola e dei vettori 101

11 (segue sintesi RTL) Ottimizzazione del risultato secondo algoritmi di Minimizzazione a due livelli Decomposizione algebrica Riorganizzazione della rete Dalla struttura al layout Tool di piazzamento e routing Strumenti per la cattura del progetto Attualmente si usano soprattutto i linguaggi descrittivi specifici la sintesi dei circuiti integrati, VHDL e Verilog, o generali (C con le sue varianti). Utilizzo nelle varie fasi per la simulazione a livello Circuitale Switch Timing Mixed mode Logico Tool per Layout extraction Generazione delle maschere Back annotation Confronto della net list Verifica regole di progetto 102

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Università degli Studi del Sannio. Facoltà di Ingegneria

Università degli Studi del Sannio. Facoltà di Ingegneria - Impressionante crescita in complessità degli attuali IC digitali - Progesso tecnologico più veloce di capacità umana di progettazione - Necessità di strumenti CAD e di ben definite metodologie di progetto

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche FPGA: Introduzione Dispositivi Programmabili FPGA (Field( Programmable Gate Arrays) Introduzione Famiglie di FPGA: architetture e tipi di blocchi I blocchi logici di base Flusso di progetto e technology

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Elettronica dei Sistemi Digitali Dispositivi logici programmabili

Elettronica dei Sistemi Digitali Dispositivi logici programmabili Elettronica dei Sistemi Digitali Dispositivi logici programmabili Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 6013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Architettura dei Field- Programmable Gate Array

Architettura dei Field- Programmable Gate Array Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Field-Programmable Gate Arrays A.a. 2004-2005 Architettura dei Field- Programmable Gate Array Un FPGA è un circuito integrato

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili.

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. GLOSSARIO A ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. AHDL Altera Hardware Description Language. Linguaggio di descrizione dell hardware sviluppato

Dettagli

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI CORSO DI ELETTRONICA DEI SISTEMI DIGITALI Capitolo 1 Porte logiche in tecnologia CMOS 1.0 Introduzione 1 1.1 Caratteristiche elettriche statiche di un transistore MOS 2 1.1.1 Simboli circuitali per un

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2 Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it

Dettagli

Sistemi Embedded. Sommario

Sistemi Embedded. Sommario Sistemi Embedded Tecnologie hardware Ing. Luigi Pomante Università dell Aquila DEWS luigi.pomante@univaq.it Tecnologie hardware Tecnologie (AS)IC Full custom Standard cell Gate array Tecnologie programmabili

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Lezione E2. Sistemi embedded e real-time

Lezione E2. Sistemi embedded e real-time Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..) F3x - Presentazione della lezione F3 1/1- Obiettivi Analisi del trend tecnologico Panoramica delle principali famiglie logiche cablate Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Dettagli

Capitolo 2 Tecnologie dei circuiti integrati 33

Capitolo 2 Tecnologie dei circuiti integrati 33 Indice Prefazione XIII Capitolo 1 Circuiti digitali 1 1.1 Introduzione 1 1.2 Discretizzazione dei segnali 4 1.3 L invertitore ideale 6 1.4 Porte logiche elementari 6 1.4.1 Porte elementari come combinazioni

Dettagli

Architetture aritmetiche

Architetture aritmetiche Architetture aritmetiche Sommatori: : Full Adder, Ripple Carry Sommatori: Carry Look-Ahead Ahead, Carry Save, Add/Subtract Moltiplicatori: Combinatori, Wallace,, Sequenziali Circuiti per aritmetica in

Dettagli

Parte 2.c. Elaborazione: Hardware dedicato

Parte 2.c. Elaborazione: Hardware dedicato Parte 2.c Elaborazione: Hardware dedicato Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 1 Introduzione [DeMicheli-c1] 1.1 Generalità Motivazioni: Ottimizzazione delle prestazioni Riduzione

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico ISTITUTO TECNICO STATALE MARCHI FORTI Viale Guglielmo Marconi n 16-51017 PESCIA (PT) - ITALIA PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico Docente PARROTTA GIOVANNI

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Negli ultimi anni il mercato è

Negli ultimi anni il mercato è APPLICAZIONI DSP NELLE FPGA VIRTEX-4 Mariano Severi I dispositivi FPGA Xilinx della serie Virtex-4 consentono di realizzare sistemi DSP a elevate prestazioni grazie all utilizzo dei moduli hardware XtremeDSP

Dettagli

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c Productivity Trends Logic Transistor per Chip (M) Complexity 10,000 1,000 100 10 1 0.1 0.01 Logic Tr./Chip Tr./Staff Month. x x x x x x x x 58%/Yr. compounded Complexity growth rate 21%/Yr. compound Productivity

Dettagli

CIRCUITI INTEGRATI DIGITALI

CIRCUITI INTEGRATI DIGITALI CIRCUITI INTEGRATI DIGITALI Gli elementi logici visti finora sono disponibili in circuiti integrati (IC). IC monolitici Circuito elettronico costruito interamente in un chip. Tutti i singoli componenti

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Microelettronica. Introduzione al progetto di un microprocessore. Stefano Salvatori. Università degli Studi Roma Tre.

Microelettronica. Introduzione al progetto di un microprocessore. Stefano Salvatori. Università degli Studi Roma Tre. Microelettronica Introduzione al progetto di un microprocessore Stefano Salvatori (salvator@uniroma3.it) Microelettronica 2012 Sommario up minimo: Formato delle istruzioni Tipi di istruzione Percentuale

Dettagli

Antonio D'Amore I CIRCUITI DI COMMUTAZIONE

Antonio D'Amore I CIRCUITI DI COMMUTAZIONE Antonio D'Amore I CIRCUITI DI COMMUTAZIONE INDICE CAPITOLO I - SISTEMI DI NUMERAZIONE E CODICI 1.1) Sistema di numerazione decimale. 1 1.2) Sistemi di numerazione a base qualsiasi. 1 1.3) Conversione tra

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Architettura dei sistemi a microprocessore prof. Stefano Salvatori A.A. 2014/2015 Eccetto dove diversamente specificato, i contenuti

Dettagli

1. Progettazione e realizzazione di ASIC.

1. Progettazione e realizzazione di ASIC. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 1-1 1. Progettazione e realizzazione di ASIC. Metodologie di approccio. I fattori che il progettista deve valutare,

Dettagli

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica Dispositivi riconfigurabili Reti Logiche T Ingegneria Informatica 1 Dispositivi riconfigurabili Field Programmable Gate Array (FPGA), dispositivi programmabili/configurabili mediante linguaggi di programmazione

Dettagli

Field programmable Gate array

Field programmable Gate array Field programmable Gate array Overview dei dispositivi elettronici programmabili FPGA della famiglia Spartan-3 ing. Mario Barbareschi prof. Antonino Mazzeo PLD: Programmable Logic Device Un dispositivo

Dettagli

Introduzione a Electronic Design Automation. Tecnologie. Circuiti integrati digitali. Sommario. M. Favalli. Tecnologia planare del silicio

Introduzione a Electronic Design Automation. Tecnologie. Circuiti integrati digitali. Sommario. M. Favalli. Tecnologia planare del silicio Sommario Introduzione a Electronic Design Automation 1 M. Favalli Engineering Department in Ferrara 2 (ENDIF) Introduzione a EDA PASD 1 / 36 Circuiti integrati digitali Tecnologie (ENDIF) Introduzione

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

Elettronica I Porte logiche CMOS

Elettronica I Porte logiche CMOS Elettronica I Porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/ liberali Elettronica

Dettagli

Lezione 7 Sommatori e Moltiplicatori

Lezione 7 Sommatori e Moltiplicatori Architettura degli Elaboratori e delle Reti Lezione 7 Sommatori e Moltiplicatori Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 /36 Sommario

Dettagli

LOGICHE PROGRAMMABILI: PREFAZIONE

LOGICHE PROGRAMMABILI: PREFAZIONE LOGICHE PROGRAMMABILI: PREFAZIONE 1. INTRODUZIONE Diverse aree dell industria elettronica stanno ponendo sempre più interesse alle logiche programmabili. Le logiche programmabili ad alta densità offrono

Dettagli

Elettronica dei Sistemi Digitali Le porte logiche CMOS

Elettronica dei Sistemi Digitali Le porte logiche CMOS Elettronica dei Sistemi Digitali Le porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

7. I linguaggi descrittivi HDL.

7. I linguaggi descrittivi HDL. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 7-1 7. I linguaggi descrittivi HDL. Introduzione. I linguaggi descrittivi HDL (Hardware Description Language) nascono

Dettagli

Livello logico digitale bus e memorie

Livello logico digitale bus e memorie Livello logico digitale bus e memorie Principali tipi di memoria Memoria RAM Memorie ROM RAM (Random Access Memory) SRAM (Static RAM) Basata su FF (4 o 6 transistor MOS) Veloce, costosa, bassa densità

Dettagli

Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET)

Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET) PROGETTO DI RICERCA AMBIENTE DI SVILUPPO PER APPARATI DI PROCESSING PER IL BROADCASTING DIGITALE (ASAP) Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET) Partita IVA

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

CALCOLATORI ELETTRONICI

CALCOLATORI ELETTRONICI DIPARTIMENTO DI INGEGNERIA ELETTRICA ELETTRONICA E INFORMATICA Corso di laurea in Ingegneria informatica Anno accademico 2016/2017-3 anno CALCOLATORI ELETTRONICI 9 CFU - 1 semestre Docente titolare dell'insegnamento

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumenti di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica)

Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica) Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica) Sistemi Elettronici Digitali1 (Corso di Laurea Specialistica in Ingegneria delle Telecomunicaizoni) Introduzione

Dettagli

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Valentino Liberali Dipartimento di Fisica Università degli Studi di Milano valentino.liberali@unimi.it Elettronica

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumento di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli

Dispositivi logici programmabili (PLD)

Dispositivi logici programmabili (PLD) 58 G2 H2 Dispositivi logici programmabili (PLD) Un dispositivo logico programmabile costituisce una grande piattaforma sulla quale è possibile cablare circuiti che richiederebbero una gran quantità di

Dettagli

Circuiti Integrati Architettura degli Elaboratori 1 A.A

Circuiti Integrati Architettura degli Elaboratori 1 A.A 1 Circuiti Integrati Architettura degli Elaboratori 1 A.A. 2002-03 03 Roberto Bisiani, 2000, 2001, 2002, Fabio Marchese 2003 25 marzo 2003 2 Circuiti integrati Costruzione di circuiti (logici e non) su

Dettagli

Giovanni De Luca. Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata. Gli acronimi. www.altera.

Giovanni De Luca. Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata. Gli acronimi. www.altera. www.delucagiovanni.com Corsi, Forum, Docs robotics and programming Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata Istituto Nazionale di Fisica Nucleare -

Dettagli

Lezione 22 La Memoria Interna (1)

Lezione 22 La Memoria Interna (1) Lezione 22 La Memoria Interna (1) Vittorio Scarano Architettura Corso di Laurea in Informatica Università degli Studi di Salerno Organizzazione della lezione Dove siamo e dove stiamo andando La gerarchia

Dettagli

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr.

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr. Laboratorio II, modulo 2 2016-2017 Elettronica digitale (2 a parte) (cfr. http://physics.ucsd.edu/~tmurphy/phys121/phys121.html) Esempio (reale) di comparatore + V V in + R V out V ref - V out V ref V

Dettagli

Logica cablata (wired logic)

Logica cablata (wired logic) Logica cablata (wired logic) Cosa succede quando si collegano in parallelo le uscite di più porte appartenenti alla stessa famiglia logica? Si realizza una ulteriore funzione logica tra le uscite Le porte

Dettagli

Sistemi di Elettronica Digitale, Sez.6

Sistemi di Elettronica Digitale, Sez.6 Sistemi di Elettronica Digitale, Sez.6 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Lunedì 16:30-18:30 Sistemi di elettronica digitale, A. Flammini,

Dettagli

Lezione 7 ALU: Moltiplicazione e divisione

Lezione 7 ALU: Moltiplicazione e divisione Architettura degli Elaboratori e delle Reti Lezione 7 ALU: Moltiplicazione e divisione F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 1/34 Sommario! Sommatori

Dettagli

Architettura dei Calcolatori Blocchi funzionali logici combinatori

Architettura dei Calcolatori Blocchi funzionali logici combinatori Architettura dei Calcolatori Blocchi funzionali logici combinatori Ing. Gestionale e delle Telecomunicazioni A.A. 2011/12 Gabriele Cecchetti Blocchi Funzionali Combinatori Esiste una ben nota e ormai stabilizzata

Dettagli

ASIC e Tecnologie Microelettroniche

ASIC e Tecnologie Microelettroniche ASIC e Tecnologie Microelettroniche Ing. Fabrizio Innocenti CESVIT Microelettronica s.r.l. CESVIT Microelettronica 1 1 Introduzione L evoluzione tecnologica Fabbricazione e tecnologia IC CMOS Famiglie

Dettagli

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Design Methodologies. December 10, 2002

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Design Methodologies. December 10, 2002 Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 Digital Circuit Implementation Approaches Custom Semicustom Cell-based Array-based Standard Cells Compiled Cells

Dettagli

Elettronica dei Sistemi Digitali Domini di rappresentazione; richiami all algebra di Boole

Elettronica dei Sistemi Digitali Domini di rappresentazione; richiami all algebra di Boole Elettronica dei Sistemi Digitali Domini di rappresentaione; richiami all algebra di Boole Valentino Liberali Dipartimento di Tecnologie dell Informaione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

10 STRUTTURE DI CONTROLLO REPEAT E WHILE. Strutture di controllo e variabili strutturate

10 STRUTTURE DI CONTROLLO REPEAT E WHILE. Strutture di controllo e variabili strutturate LABORATORIO DI PROGRAMMAZIONE Corso di laurea in matematica 10 STRUTTURE DI CONTROLLO REPEAT E WHILE Marco Lapegna Dipartimento di Matematica e Applicazioni Universita degli Studi di Napoli Federico II

Dettagli

CAPITOLO 3 : FPGA 1. SCENARIO 2. METRICA

CAPITOLO 3 : FPGA 1. SCENARIO 2. METRICA CAPITOLO 3 : FPGA 1. SCENARIO Uno studio compiuto dalla società di ricerche di mercato dall autorevole firma McKinsey & Co. afferma che sei mesi di ritardo nell ingresso di un prodotto in un mercato altamente

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni Le macchine digitali Le Macchine digitali sono Sistemi artificiali che elaborano informazioni ogni informazione è descritta da variabili che possono assumere solo un numero finito di valori Ad ogni variabile

Dettagli

Un quadro della situazione

Un quadro della situazione Reti logiche (1) Algebra booleana e circuiti combinatori 1 Un quadro della situazione In particolare gli argomenti qui trattati interessano ALU (Unità Aritmetico Logica) e CPU Elementi di memoria e progetto

Dettagli

Circuiti Digitali. Appunti del Corso

Circuiti Digitali. Appunti del Corso Circuiti Digitali Appunti del Corso Indice CENNI SULLA FISICA DEI SEMICONDUTTORI 1 Semiconduttori intrinseci (puri)... 2 Semiconduttori estrinseci (impuri)... 4 Semiconduttori di tipo P... 4 Semiconduttori

Dettagli

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max

Dettagli

Condizioni di trasparenza e generazione locale del clock senza scorrimento

Condizioni di trasparenza e generazione locale del clock senza scorrimento STRUTTURE DI MEMORIA AD UNA FASE Registro D tipico Condizioni di trasparenza e generazione locale del clock senza scorrimento Latch statici Microelettronica 81 Latch e registri dinamici Due latch in serie

Dettagli

Design for Testability (DFT): Scan

Design for Testability (DFT): Scan Design for Testability (DFT): Full-Scan Definizioni Metodi ad-hoc Scan design Regole di progetto Registri Flip-flops Scan test sequences Overhead Sistemi di progetto basati sulla scansione Sommario 1 Definizioni

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli

Introduzione. 1.1 Evoluzione della progettazione dei sistemi digitali

Introduzione. 1.1 Evoluzione della progettazione dei sistemi digitali 1 Introduzione 1.1 Evoluzione della progettazione dei sistemi digitali I primi sistemi digitali intesi come sistemi di elaborazione basati su tecnologie elettriche o elettromeccaniche e operanti su informazione

Dettagli

ELETTRONICA DIGITALE - A.A

ELETTRONICA DIGITALE - A.A ELETTRONICA DIGITALE - A.A. 2013-2014 Franco Meddi: E-mail franco.meddi@roma1.infn.it Studio Edificio Marconi 3-zo piano (M324) Telefono 06 49914416 1 Elettronica Digitale A.A. 2013-2014 Laurea Magistrale

Dettagli

Calcolatori Elettronici Parte IV: Logica Digitale e Memorie

Calcolatori Elettronici Parte IV: Logica Digitale e Memorie Anno Accademico 2013/2014 Calcolatori Elettronici Parte IV: Logica Digitale e Memorie Prof. Riccardo Torlone Università di Roma Tre Semplici elementi alla base di sistemi complessi Riccardo Torlone - Corso

Dettagli

Calcolatori Elettronici Parte IV: Logica Digitale e Memorie. Prof. Riccardo Torlone Università di Roma Tre

Calcolatori Elettronici Parte IV: Logica Digitale e Memorie. Prof. Riccardo Torlone Università di Roma Tre Calcolatori Elettronici Parte IV: Logica Digitale e Memorie Prof. Riccardo Torlone Università di Roma Tre Astrazione di un calcolatore L1 MACCHINA VIRTUALE (compilazione o interpretazione) L0 MACCHINA

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

Esercitazione di laboratorio n. 2

Esercitazione di laboratorio n. 2 Esercitazione di laboratorio n. 2 Argomento dell esercitazione Progetto di circuiti combinatori. L esercitazione è composta di tre esercizi: progetto di un Full Adder da 1 bit (esercizio 1), e suo riutilizzo

Dettagli

Architetture Digitali

Architetture Digitali Corso di Lezione 3 Sintesi RTL Federico Pedersini Laboratorio di (DALab) Dipartimento di Scienze dell Informazione Università degli Studi di Milano Riferimenti bibliografici: [1] F. Fummi, M. Sami, C.

Dettagli

Dispositivi per il controllo

Dispositivi per il controllo Dispositivi per il controllo ordini di comando PARTE DI COMANDO PARTE DI POTENZA Controllori monolitici Controllori con architettura a bus Controllori basati su PC informazioni di ritorno PLC (Programmable

Dettagli

Laboratorio di elettronica digitale

Laboratorio di elettronica digitale Università di Padova - DEI Laboratorio di elettronica digitale 3 Anno Ingegneria Elettronica (ex DM 509/99) AA 2009/2010 (Ultimo anno) -docente: -e-mail: Daniele Vogrig vogrig@dei.unipd.it -tel.: 049.827.7696

Dettagli

Moduli combinatori Barbara Masucci

Moduli combinatori Barbara Masucci Architettura degli Elaboratori Moduli combinatori Barbara Masucci Punto della situazione Ø Abbiamo studiato le reti logiche e la loro minimizzazione Ø Obiettivo di oggi: studio dei moduli combinatori di

Dettagli

ELETTRONICA DIGITALE (DIGITAL ELECTRONICS) A.A

ELETTRONICA DIGITALE (DIGITAL ELECTRONICS) A.A Franco Meddi: ELETTRONICA DIGITALE (DIGITAL ELECTRONICS) A.A. 2017-2018 E-mail franco.meddi@roma1.infn.it Studio Edificio Marconi 3-zo piano (M324) Telefono 06 49914416 1 Elettronica Digitale (Digital

Dettagli