Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali"

Transcript

1 Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I Milano (Italy) Tel.: silvano@elet.polimi.it 3/7/01 Cristina Silvano - Università degli Studi di Milano 1 Sommario Introduzione Evoluzione tecnologica Flusso di progetto Livelli di astrazione Linguaggi HDL (Hardware Description Language) 3/7/01 Cristina Silvano - Università degli Studi di Milano 2

2 Introduzione La tecnologia microelettronica, basata sull utilizzo dei semiconduttori, ha subito un enorme evoluzione negli ultimi decenni. I circuiti VLSI (Very Large Scale Integration) realizzati con tecnologia CMOS (Complementary Metal Oxide Semiconductor) costituiscono la tecnologia strategica per lo sviluppo dei sistemi digitali. Il continuo incremento del livello di integrazione dei dispositivi microelettronici ha permesso la realizzazione di sistemi di complessità crescente. Principali settori di mercato: Sistemi di elaborazione Telecomunicazioni Elettronica di consumo Automotive Automazione 3/7/01 Cristina Silvano - Università degli Studi di Milano 3 Microfotografia di un dispositivo VLSI 3/7/01 Cristina Silvano - Università degli Studi di Milano 4

3 Esigenze del mercato microelettronico Utilizzare le più avanzate tecnologie sub-micrometriche per mantenere elevate prestazioni. Ridurre costi di progetto e di fabbricazione per mantenersi competitivi sul mercato. Accorciare i tempi di progetto per rispettare il time-to-market del prodotto. 3/7/01 Cristina Silvano - Università degli Studi di Milano 5 Introduzione (cont.) L elevato livello di integrazione implica: Aumento delle prestazioni Riduzione dei costi Aumento dell affidabilità Analisi valida nell ipotesi che i volumi di vendita del circuito siano abbastanza elevati da recuperare i costi di progetto e di fabbricazione. Esempio: microprocessori general-purpose. Alcune applicazioni richiedono circuiti integrati dedicati o ASIC (Application Specific Integrated Circuit) non prodotti in alti volumi poiché specializzati a compiere un limitato insieme di operazioni. Altre applicazioni richiedono circuiti integrati programmabili o FPGA (Field Programmable Gate Array) personalizzabili on-site dopo la produzione del dispositivo. 3/7/01 Cristina Silvano - Università degli Studi di Milano 6

4 Esempi di circuiti microelettronici Processori general-purpose caratterizzati da: Volumi di vendita elevati Prestazioni elevate Progettazione FULL-CUSTOM Circuiti ASIC caratterizzati da: Volumi di vendita medi Diversi livelli di prestazioni Adatti ad applicazioni dedicate Progettazione SEMI-CUSTOM 3/7/01 Cristina Silvano - Università degli Studi di Milano 7 Tempi di sviluppo La rapida evoluzione tecnologica del mercato microelettronico rende un circuito rapidamente obsoleto. Riduzione del time-to-market di un circuito o sistema digitale Riduzione dei tempi di sviluppo. Aumento del livello di qualità e affidabilità richiesto al prodotto. 3/7/01 Cristina Silvano - Università degli Studi di Milano 8

5 Principali requisiti del mercato Livello di integrazione Complessità progettuale Prestazioni Dissipazione di potenza Affidabilità Time-to-market Costi Volumi di produzione Importanza strategica delle metodologie e dei tool CAD (Computer Aided Design) o EDA (Electronic Design Automation) per raggiungere gli obiettivi di progetto nel rispetto dei tempi di sviluppo. 3/7/01 Cristina Silvano - Università degli Studi di Milano 9 Principali trend dell industria microelettronica Miglioramenti tecnologici Riduzioni dell area di silicio Maggiori prestazioni Maggior numero di transistor su un singolo chip Maggiore livello di integrazione Maggiore complessità dei sistemi Riduzione dei costi Maggiore affidabilità 3/7/01 Cristina Silvano - Università degli Studi di Milano 10

6 Livelli di astrazione Definiscono i livelli di dettaglio della descrizione Livello Sistema Livello Comportamentale o Behavioral Livello Architetturale o RT (Register Transfer) Livello Logico Livello Circuitale o Transistor Livello Geometrico o Layout 3/7/01 Cristina Silvano - Università degli Studi di Milano 11 Livello Sistema Esempio: Sistema di elaborazione composto da processore, memoria e dispositivi di ingresso/uscita. Computer Processor Memory Devices Control Input Datapath Output 3/7/01 Cristina Silvano - Università degli Studi di Milano 12

7 Livello Comportamentale o Behavioral Rappresentazione algoritmica della funzionalità di un modulo o componente del sistema. Esempio: Selettore o multiplexer -- modello comportamentale -- begin if (SEL='0') then Z <= A; else Z <= B; end if; end;,qjuhvvl 8VFLWD 6(/ $ % = 3/7/01 Cristina Silvano - Università degli Studi di Milano 13 Livello Architetturale o RT (Register Transfer) Rappresentazione a livello di trasferimento tra registri. D D Q Q CLK CLK 3/7/01 Cristina Silvano - Università degli Studi di Milano 14

8 Livello Logico Rappresentazione a livello di componenti (ad esempio porte logiche elementari e registri) e loro interconnessione. Esempio: A B C D Q CLK Esempio: Simbolo di un componente inserito in un contesto gerarchico. 3/7/01 Cristina Silvano - Università degli Studi di Milano 15 Livello Logico Esempio: Schema logico complesso 3/7/01 Cristina Silvano - Università degli Studi di Milano 16

9 Livello Circuitale o Transistor Rappresentazione a livello di transistor e loro interconnessioni Esempio: cella di memoria SRAM (Static Random Access Memory). word bit bit 3/7/01 Cristina Silvano - Università degli Studi di Milano 17 Livello Layout Rappresentazione delle geometrie delle maschere tecnologiche relative a transistor, condensatori, resistenze e alle loro interconnessioni. 3/7/01 Cristina Silvano - Università degli Studi di Milano 18

10 Livello Layout 3/7/01 Cristina Silvano - Università degli Studi di Milano 19 Livello Layout 3/7/01 Cristina Silvano - Università degli Studi di Milano 20

11 Esempio di diverse descrizioni di una porta logica NAND Vdd A B Out Out A B Out B A 3/7/01 Cristina Silvano - Università degli Studi di Milano 21 Fasi di sviluppo di un circuito VLSI Progettazione: Modellizzazione Sintesi e ottimizzazione Validazione Fabbricazione: Fabbricazione delle maschere Fabbricazione dei wafer Testing Packaging Slicing del wafer Packaging 3/7/01 Cristina Silvano - Università degli Studi di Milano 22

12 Progettazione Modellizzazione: Hardware Description Language (HDL) Diagramma di Flusso Schematico o Schema Logico Grafo di Transizione degli Stati o State Transition Graph (STG) Sintesi e ottimizzazione: Raffinamento del modello Validazione Verifica di correttezza e completezza del modello originale. Verifica della consistenza dei modelli sviluppati durante le successive fasi di progetto. 3/7/01 Cristina Silvano - Università degli Studi di Milano 23 Criteri di ottimizzazione dei circuiti VLSI Prestazioni Ciclo di clock Ritardo o Latenza Throughput (per applicazioni pipeline) Area Consumo di potenza Testabilità Affidabilità 3/7/01 Cristina Silvano - Università degli Studi di Milano 24

13 Criteri di ottimizzazione dei circuiti VLSI Molto spesso occorre raggiungere un ottimizzazione congiunta secondo diversi criteri: Minimizzare l area sotto vincoli di ritardo Minimizzare il ritardo sotto vincoli di area Minimizzare il ritardo sotto vincoli di consumo di potenza 3/7/01 Cristina Silvano - Università degli Studi di Milano 25 Metodologia di progetto top-down La progettazione di un sistema complesso può essere realizzata adottando una metodologia di progetto top-down. La metodologia top-down viene realizzata attraverso un metodo di progetto incrementale. Un flusso di operazioni viene ripetutamente applicato ad un progetto fino a raggiungere il più basso livello di astrazione Modello meno Dettagliato Raffinamento del Modello Modello piu Dettagliato 3/7/01 Cristina Silvano - Università degli Studi di Milano 26

14 Metodologia di progetto top-down La metodologia di progetto top-down può essere applicata come un ciclo di fasi di simulazione e sintesi a partire da una descrizione comportamentale del sistema. Validazione della correttezza e completezza del modello iniziale ad alto livello. Una volta simulata per verificarne la correttezza, questa descrizione subisce un processo di raffinamento del modello durante il quale sono aggiunti maggiori dettagli. Validazione delle consistenza dei modelli sviluppati durante le successive fasi di progetto. 3/7/01 Cristina Silvano - Università degli Studi di Milano 27 Flusso di Progetto di un circuito VLSI Requisiti di Progetto Specifica Funzionale Modello Comp. Simulazione Sintesi Comp. Modello RT Simulazione Stimoli Sintesi Logica Modello Logico Simulazione 3/7/01 Cristina Silvano - Università degli Studi di Milano 28

15 Flusso di Progetto di un circuito VLSI (cont.) Sintesi Circuitale Modello Circ. Simulazione Sintesi Fisica Modello Fisico o Layout Simulazione Stimoli ASIC o FPGA 3/7/01 Cristina Silvano - Università degli Studi di Milano 29 Vantaggi della progettazione ad alto livello Possibilità di gestire progetti più complessi. Riduzione dei tempi di progettazione. Riduzione degli errori (correttezza per costruzione). Possibilità di analisi trade-off costi/prestazioni. Ampliamento del numero di utenti alla progettazione. Possibilità di documentare il processo. 3/7/01 Cristina Silvano - Università degli Studi di Milano 30

16 Linguaggi per creare modelli di progetti HW Hardware Description Languages (HDLs) Verilog VHDL: VHSIC Hardware Description Language - VHSIC (Very High Speed Integrated Circuit) 3/7/01 Cristina Silvano - Università degli Studi di Milano 31 VHDL Nato negli anni 80 come progetto del U.S. Department of Defense. Version 7.2: prima versione pubblica del linguaggio disponibile nel IEEE Std : primo standard completo del linguaggio. IEEE Std : versione aggiornata del linguaggio rilasciata nel IEEE Std 1164: definisce un package standard per il tipo di dato MVL9 (Multi Valued Logic, 9 valori). 3/7/01 Cristina Silvano - Università degli Studi di Milano 32

17 Il processo di modellizzazione in VHDL Lo sviluppo di un modello VHDL a partire dalla specifica concettuale avviene attraverso un processo di raffinamento basato sulla ripetizione delle fasi di compilazione, analisi e simulazione. Compilazione Analisi Analisi Simulazione La specifica concettuale consiste in una descrizione: dell INTERFACCIA del componente; della FUNZIONALITA del componente. 3/7/01 Cristina Silvano - Università degli Studi di Milano 33 Struttura di un modello VHDL Design Entity Entity Declaration INTERFACCIA Architecture Body FUNZIONALITA 3/7/01 Cristina Silvano - Università degli Studi di Milano 34

18 Design Entity L unità di base di un modello VHDL consiste nella Design Entity, che può rappresentare un intero sistema, una PCB (Printed Circuit Board), un circuito integrato oppure una porta logica elementare. La Entity Declaration definisce l interfaccia del modello. L Architecture Body definisce la funzionalità del modello. All interno di un modello VHDL, ad una stessa Entity Declaration possono corrispondere diverse Architecture Body. Un modello VHDL può essere creato a diversi livelli di astrazione (behavioral, dataflow, structural) secondo un processo di raffinamento del modello iniziale. 3/7/01 Cristina Silvano - Università degli Studi di Milano 35 Modello VHDL DESCRIZIONE COMPORTAMENTALE o BEHAVIORAL supporta descrizioni algoritmiche; DESCRIZIONE FLUSSO DATI o DATAFLOW supporta descrizioni a livello di trasferimento del flusso dati tra registri. DESCRIZIONE STRUTTURALE o STRUCTURAL supporta descrizioni di strutture composte dall interconnessione di componenti di livello gerarchico inferiore. DESCRIZIONE MISTA 3/7/01 Cristina Silvano - Università degli Studi di Milano 36

19 Struttura di un modello VHDL Ad una singola interfaccia di un modello VHDL possono corrispondere diverse Architecture Body ciascuna descritta ad un diverso livello di astrazione (comportamentale, dataflow, strutturale o mista) Ogni diversa architettura rappresenta una diversa realizzazione della stessa funzionalità del modello per mettere in luce un diverso aspetto progettuale cioè fornisce una diversa implementazione di una stessa funzionalità. 3/7/01 Cristina Silvano - Università degli Studi di Milano 37 Struttura di un modello VHDL Design Entity Entity Declaration Arch. A Arch. B 3/7/01 Cristina Silvano - Università degli Studi di Milano 38

20 Concetti base del VHDL Supporta descrizione della funzionalità del modello a diversi livelli di astrazione. Concorrenza: le strutture hardware sono intrinsecamente concorrenti e composte dall interconnessione di componenti elementari. Il concetto di concorrenza supportato sia dai modelli strutturali sia dal concetto di processi multipli concorrenti tra loro. Supporta istruzioni sequenziali all interno di un processo. Gerarchia: data la complessità progettuale occorre organizzare il progetto su diversi livelli gerarchici, che possono essere descritti a diversi livelli di astrazione. Temporizzazioni: necessità di modellizzare l andamento temporale dei segnali attraverso la descrizione di forme d onda. 3/7/01 Cristina Silvano - Università degli Studi di Milano 39

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2 Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Università degli Studi del Sannio. Facoltà di Ingegneria

Università degli Studi del Sannio. Facoltà di Ingegneria - Impressionante crescita in complessità degli attuali IC digitali - Progesso tecnologico più veloce di capacità umana di progettazione - Necessità di strumenti CAD e di ben definite metodologie di progetto

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP Ingegneria e Tecnologie dei Sistemi di Controllo Unità di Elaborazione: MicroControllori e DSP Ing. Andrea Tilli DEIS Alma Mater Studiorum Università di Bologna E-Mail: atilli@deis.unibo.it Revisionato:

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

Christian Pilato

Christian Pilato Politecnico di Milano Introduzione al VHDL Christian Pilato pilato@elet.polimi.it Sommario Introduzione Struttura di un modello Interfaccia Funzionalità Concetti base Livelli di astrazione Concorrenza

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena Fabio Campi Aa 2003-2004 Elettronica dei Sistemi Digitali Fabio Campi, fcampi@deis.unibo.it (con parsimonia ) 051/2093834 http://www.micro.deis.unibo.it/~campi/esd_2004

Dettagli

MATERIALI PER LA DISCUSSIONE

MATERIALI PER LA DISCUSSIONE SETTORE TECNOLOGICO MATERIALI PER LA DISCUSSIONE ISTITUTO TECNICO INDIRIZZO ARTICOLAZIONE TELECOMUNICAZIONI INFORMATICA E TELECOMUNICAZIONI ESITI DI APPRENDIMENTO Regolamento, Art. 5 comma 1 Nota: Le Competenze,

Dettagli

2. Le fasi della realizzazione di sistemi elettronici.

2. Le fasi della realizzazione di sistemi elettronici. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 2-1 2. Le fasi della realizzazione di sistemi elettronici. Nella creazione di un circuito elettronico integrato possono

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

Informatica di Base - 6 c.f.u.

Informatica di Base - 6 c.f.u. Università degli Studi di Palermo Dipartimento di Ingegneria Informatica Informatica di Base - 6 c.f.u. Anno Accademico 2007/2008 Docente: ing. Salvatore Sorce Logica booleana e circuiti logici Perchè

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Introduzione. Sommario. Il software. Definizione di Ingegneria del software

Introduzione. Sommario. Il software. Definizione di Ingegneria del software Sommario Introduzione Leggere Cap. 1 Ghezzi et al. Definizione Nascita dell ingegneria del software Ruolo Relazione con altre discipline Introduzione 2 Il software Il software e` definito come: i programmi,

Dettagli

Introduzione a Electronic Design Automation. Tecnologie. Circuiti integrati digitali. Sommario. M. Favalli. Tecnologia planare del silicio

Introduzione a Electronic Design Automation. Tecnologie. Circuiti integrati digitali. Sommario. M. Favalli. Tecnologia planare del silicio Sommario Introduzione a Electronic Design Automation 1 M. Favalli Engineering Department in Ferrara 2 (ENDIF) Introduzione a EDA PASD 1 / 36 Circuiti integrati digitali Tecnologie (ENDIF) Introduzione

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A I SESSIONE - ANNO 2015 SEZIONE A- Settore Industriale

ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A I SESSIONE - ANNO 2015 SEZIONE A- Settore Industriale ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A SEZIONE A- Settore Industriale PRIMA PROVA TRACCIA N. 1: Impianti chimici Il Candidato illustri le problematiche

Dettagli

iafelice at cs(dot)unibo(dot)it

iafelice at cs(dot)unibo(dot)it Corso di Archite?ura degli Elaboratori Modulo di Assembly CONCETTI DI BASE Bruno Iafelice Università di Bologna iafelice at cs(dot)unibo(dot)it 1 LINGUAGGIO ASSEMBLATIVO ISA PROGRAMMATORI DI APPLICATIVI

Dettagli

Architettura dei calcolatori

Architettura dei calcolatori Cos'è un calcolatore? Architettura dei calcolatori Esecutore automatico di algoritmi Macchina universale Elementi di Informatica Docente: Giorgio Fumera Corso di Laurea in Edilizia Facoltà di Architettura

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Reti Logiche A. Introduzione al VHDL

Reti Logiche A. Introduzione al VHDL Reti Logiche Introduzione al VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it 1 Sommario Introduzione Struttura di un modello

Dettagli

Lez. 4 L hardware. Prof. Pasquale De Michele Gruppo 2

Lez. 4 L hardware. Prof. Pasquale De Michele Gruppo 2 Lez. 4 L hardware 1 Dott. Pasquale De Michele Dipartimento di Matematica e Applicazioni Università di Napoli Federico II Compl. Univ. Monte S.Angelo Via Cintia, I-80126, Napoli pasquale.demichele@unina.it

Dettagli

MISSION. Consulenza, Competenza ed Esperienza sono i valori che CADLOG mette a vostra disposizione.

MISSION. Consulenza, Competenza ed Esperienza sono i valori che CADLOG mette a vostra disposizione. y o u r e d a s o l u t i o n p r o v i d e r MISSION La mission di CADLOG è fornire gli strumenti e i servizi necessari al controllo e all ottimizzazione del progetto e della produzione nell industria

Dettagli

Parte 2.c. Elaborazione: Hardware dedicato

Parte 2.c. Elaborazione: Hardware dedicato Parte 2.c Elaborazione: Hardware dedicato Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 1 Introduzione [DeMicheli-c1] 1.1 Generalità Motivazioni: Ottimizzazione delle prestazioni Riduzione

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità di trasferimento dati. Esempio: Si supponga che ai

Dettagli

CALCOLATORI ELETTRONICI

CALCOLATORI ELETTRONICI DIPARTIMENTO DI INGEGNERIA ELETTRICA ELETTRONICA E INFORMATICA Corso di laurea in Ingegneria informatica Anno accademico 2016/2017-3 anno CALCOLATORI ELETTRONICI 9 CFU - 1 semestre Docente titolare dell'insegnamento

Dettagli

7. I linguaggi descrittivi HDL.

7. I linguaggi descrittivi HDL. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 7-1 7. I linguaggi descrittivi HDL. Introduzione. I linguaggi descrittivi HDL (Hardware Description Language) nascono

Dettagli

Tecnologie dei Sistemi di Automazione

Tecnologie dei Sistemi di Automazione Facoltà di Ingegneria Tecnologie dei Sistemi di Automazione Prof. Gianmaria De Tommasi Lezione 2 Architetture dei dispositivi di controllo e Dispositivi di controllo specializzati Corso di Laurea Codice

Dettagli

Macchina di von Neumann/Turing

Macchina di von Neumann/Turing Macchina di von Neumann/Turing Concetto di programma memorizzato Memoria principale per dati e istruzioni ALU opera su dati in formato binario Unità di controllo che interpreta le istruzioni in memoria

Dettagli

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Lezione E2. Sistemi embedded e real-time

Lezione E2. Sistemi embedded e real-time Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Architettura dei sistemi a microprocessore prof. Stefano Salvatori A.A. 2014/2015 Eccetto dove diversamente specificato, i contenuti

Dettagli

Argomenti. Processori microprogrammati. Architetture CISC Architetture RISC. » Pipeline» Architetture superscalari

Argomenti. Processori microprogrammati. Architetture CISC Architetture RISC. » Pipeline» Architetture superscalari Argomenti Processori microprogrammati» Microistruzioni e microprogrammi» Esempio di architettura microprogrammata Architetture CISC Architetture RISC» Pipeline» Architetture superscalari Processori microprogrammati

Dettagli

Introduzione. 1.1 Evoluzione della progettazione dei sistemi digitali

Introduzione. 1.1 Evoluzione della progettazione dei sistemi digitali 1 Introduzione 1.1 Evoluzione della progettazione dei sistemi digitali I primi sistemi digitali intesi come sistemi di elaborazione basati su tecnologie elettriche o elettromeccaniche e operanti su informazione

Dettagli

A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be

A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be Docenti Disciplina Cinzia Brunetto, Antonino Cacopardo SAE Sistemi Automatici Elettronici Competenze disciplinari di riferimento Il percorso formativo

Dettagli

Microelettronica. Introduzione al progetto di un microprocessore. Stefano Salvatori. Università degli Studi Roma Tre.

Microelettronica. Introduzione al progetto di un microprocessore. Stefano Salvatori. Università degli Studi Roma Tre. Microelettronica Introduzione al progetto di un microprocessore Stefano Salvatori (salvator@uniroma3.it) Microelettronica 2012 Sommario up minimo: Formato delle istruzioni Tipi di istruzione Percentuale

Dettagli

La memoria - tecnologie

La memoria - tecnologie Architettura degli Elaboratori e delle Reti Lezione 26 La memoria - tecnologie Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 26 1/24 Indirizzi

Dettagli

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr.

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr. Laboratorio II, modulo 2 2016-2017 Elettronica digitale (2 a parte) (cfr. http://physics.ucsd.edu/~tmurphy/phys121/phys121.html) Esempio (reale) di comparatore + V V in + R V out V ref - V out V ref V

Dettagli

UNIVERSITÀ DEGLI STUDI DI TRIESTE

UNIVERSITÀ DEGLI STUDI DI TRIESTE UNIVERSITÀ DEGLI STUDI DI TRIESTE Facoltà di Ingegneria Corso di Laurea Triennale in Ingegneria dell Informazione Curriculum Elettronica Relatore: Professore Boscolo Antonio Laureanda: Giovanna Bernardi

Dettagli

CALCOLATORI ELETTRONICI

CALCOLATORI ELETTRONICI DIPARTIMENTO DI INGEGNERIA ELETTRICA ELETTRONICA E INFORMATICA Corso di laurea in Ingegneria elettronica Anno accademico 2017/2018-3 anno CALCOLATORI ELETTRONICI 9 CFU - 2 semestre Docente titolare dell'insegnamento

Dettagli

I fisici nel mondo del lavoro

I fisici nel mondo del lavoro Università degli Studi di Pavia, 16 marzo 2016 Laura Atzeni Sommario 2 STMicroelectronics: cos è e cosa fa I BCD in STMicroelectronics Esperienze personali nella Ricerca & Sviluppo (BCD) Sviluppo di piattaforme

Dettagli

Elementi di informatica

Elementi di informatica Elementi di informatica Architetture degli elaboratori Il calcolatore Un calcolatore è sistema composto da un elevato numero di componenti Il suo funzionamento può essere descritto se lo si considera come

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumenti di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli

Università di Bergamo Facoltà di Ingegneria INGEGNERIA DEL SOFTWARE. Paolo Salvaneschi A4_3 V2.1. Progettazione. Metodi e Linguaggi

Università di Bergamo Facoltà di Ingegneria INGEGNERIA DEL SOFTWARE. Paolo Salvaneschi A4_3 V2.1. Progettazione. Metodi e Linguaggi Università di Bergamo Facoltà di Ingegneria INGEGNERIA DEL SOFTWARE Paolo Salvaneschi A4_3 V2.1 Progettazione Metodi e Linguaggi Il contenuto del documento è liberamente utilizzabile dagli studenti, per

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Elementi per il progetto di un microprocessore prof. Stefano Salvatori A.A. 2016/2017 Eccetto dove diversamente specificato, i contenuti

Dettagli

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA

FONDAMENTI DI INFORMATICA FONDAMENTI DI INFORMATICA UN POCO DI STORIA FONDAMENTI DI INFORMATICA. Lezione n. 1 UN POCO DI STORIA UN POCO DI STORIA FONDAMENTI DI INFORMATICA Obiettivo del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo. Fornire i primi strumento di descrizione e di analisi dei sistemi digitali. Descrivere

Dettagli

IL PROCESSO di PROGETTAZIONE

IL PROCESSO di PROGETTAZIONE IL PROCESSO di PROGETTAZIONE In questa lezione vedremo: Ruolo della modellazione nella comunicazione tipi di modello nel progetto I modelli del prodotto Interpretazione delle informazioni del progetto

Dettagli

La memoria-gerarchia. Laboratorio di Informatica - Lezione 3 - parte I La memoria - La rappresentazione delle informazioni

La memoria-gerarchia. Laboratorio di Informatica - Lezione 3 - parte I La memoria - La rappresentazione delle informazioni La memoriaparametri di caratterizzazione Un dato dispositivo di memoria è caratterizzato da : velocità di accesso, misurata in base al tempo impiegato dal processore per accedere ad uno specificato indirizzo

Dettagli

Introduzione alla Modellazione Solida

Introduzione alla Modellazione Solida Introduzione alla Modellazione Solida Obiettivi della Modellazione Solida e del CAD CAD e progettazione, CAD e sviluppo prodotto Esempio Evoluzione storica Competenze richieste Significato Con Computer

Dettagli

Laboratorio di Strumentazione Elettronica

Laboratorio di Strumentazione Elettronica Laboratorio di Strumentazione Elettronica Proposte di attività per il Progetto Elettronico per gli studenti del Corso di Laurea in Ingegneria Elettronica e delle Telecomunicazioni Pixel monolitici in tecnologia

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Reggio Calabria, 29 Aprile 2009 ING.VALERIO SCORDAMAGLIA

Reggio Calabria, 29 Aprile 2009 ING.VALERIO SCORDAMAGLIA TECNOLOGIE DEI SISTEMI DI CONTROLLO INTRODUZIONE PLC E DIAGRAMMA FUNZIONALE SEQUENZIALE Reggio Calabria, 29 Aprile 2009 ING.VALERIO SCORDAMAGLIA ESEMPIO: CARRELLO AUTOMATICO INTRODUZIONE PLC PROGRAMMABLE

Dettagli

Dispositivi per il controllo

Dispositivi per il controllo Dispositivi per il controllo ordini di comando PARTE DI COMANDO PARTE DI POTENZA Controllori monolitici Controllori con architettura a bus Controllori basati su PC informazioni di ritorno PLC (Programmable

Dettagli

Progettazione di basi di dati

Progettazione di basi di dati Progettazione di basi di dati Sistemi Informativi L-B Home Page del corso: http://www-db.deis.unibo.it/courses/sil-b/ Versione elettronica: progettazionedb.pdf Sistemi Informativi L-B Progettazione di

Dettagli

Aiutiamo i nostri clienti ad incorporare connettività, servizi web, embedded computing e automazione nei loro prodotti e soluzioni.

Aiutiamo i nostri clienti ad incorporare connettività, servizi web, embedded computing e automazione nei loro prodotti e soluzioni. Costruiamo con voi i prodotti di successo del futuro Edge devices Business Intelligence Industrial IoT gateways and cloud platform for fast deployment of IoT applications for Unattended, mission critical

Dettagli

Un quadro della situazione

Un quadro della situazione Reti logiche (1) Algebra booleana e circuiti combinatori 1 Un quadro della situazione In particolare gli argomenti qui trattati interessano ALU (Unità Aritmetico Logica) e CPU Elementi di memoria e progetto

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

La memoria - tecnologie

La memoria - tecnologie Architettura degli Elaboratori e delle Reti Lezione 26 La memoria - tecnologie Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 25 1/21 Sommario!

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori Architettura degli elaboratori Ottavio D Antona dantona@di.unimi.it Maria Luisa Damiani damiani@di.unimi.it Dipartimento di Informatica Università degli Studi di Milano Architettura degli Elaboratori -

Dettagli

Circuiti Integrati Architettura degli Elaboratori 1 A.A

Circuiti Integrati Architettura degli Elaboratori 1 A.A 1 Circuiti Integrati Architettura degli Elaboratori 1 A.A. 2002-03 03 Roberto Bisiani, 2000, 2001, 2002, Fabio Marchese 2003 25 marzo 2003 2 Circuiti integrati Costruzione di circuiti (logici e non) su

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Architettura degli Elaboratori Università degli Studi di Padova Facoltà di Scienze MM.FF.NN. Corso di Laurea in Informatica docente: Alessandro Sperduti Informazioni Generali Lucidi ed esercizi disponibili

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..) F3x - Presentazione della lezione F3 1/1- Obiettivi Analisi del trend tecnologico Panoramica delle principali famiglie logiche cablate Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Fondamenti di Informatica Architettura del Calcolatore Alessandra Volpato

Fondamenti di Informatica Architettura del Calcolatore Alessandra Volpato Fondamenti di Informatica Architettura del Calcolatore Alessandra Volpato E-mail: ale.volpato@ieee.org Sistema Informativo: Insieme di componenti in relazione tra loro che raccoglie, estrae, elabora, memorizza

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili.

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. GLOSSARIO A ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. AHDL Altera Hardware Description Language. Linguaggio di descrizione dell hardware sviluppato

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Reti logiche (2) Circuiti sequenziali

Reti logiche (2) Circuiti sequenziali Reti logiche (2) Circuiti sequenziali 1 Un ripasso Algebra booleana: operatori, postulati, identità, operatori funzionalmente completi Circuiti combinatori: tabelle di verità, porte logiche Decodificatore

Dettagli

CAPITOLO 2. 2.1 Evoluzione nella progettazione dei circuiti integrati

CAPITOLO 2. 2.1 Evoluzione nella progettazione dei circuiti integrati CAPITOLO 2 Questo capitolo contiene una breve storia sull evoluzione nella progettazione dei circuiti integrati, seguita da una approfondita analisi dei mezzi che vengono usati attualmente a tale scopo,

Dettagli

Sistemi e reti 1 Logica Booleana e circuiti logici 2015/16

Sistemi e reti 1 Logica Booleana e circuiti logici 2015/16 Sistemi e reti 1 Logica Booleana e circuiti logici 2015/16 Ing. Andrea De Luca Sistemi e reti 1 2015/16 Logica Booleana e operatori logici 25/06/2016 Perché il calcolatore è binario? Un calcolatore può

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Le memorie Cache n-associative

Le memorie Cache n-associative Le memorie Cache n-associative Prof. Alberto Borghese Dipartimento di Scienze dell Informazione alberto.borghese@unimi.it Università degli Studi di Milano Riferimento Patterson: 5.2, 5.3 1/30 Sommario

Dettagli

Il calcolatore. È un sistema complesso costituito da un numero elevato di componenti. è strutturato in forma gerarchica

Il calcolatore. È un sistema complesso costituito da un numero elevato di componenti. è strutturato in forma gerarchica Il calcolatore È un sistema complesso costituito da un numero elevato di componenti. è strutturato in forma gerarchica ogni livello di descrizione è caratterizzato da una struttura rappresentante l organizzazione

Dettagli

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni

Le Macchine digitali sono Sistemi artificiali che elaborano informazioni Le macchine digitali Le Macchine digitali sono Sistemi artificiali che elaborano informazioni ogni informazione è descritta da variabili che possono assumere solo un numero finito di valori Ad ogni variabile

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL Introduzione al linguaggio VHDL Il VHDL è un linguaggio per la sintesi e la simulazione di circuiti digitali, uno standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito

Dettagli

Linguaggio assembler e linguaggio macchina (caso di studio: processore MIPS)

Linguaggio assembler e linguaggio macchina (caso di studio: processore MIPS) Linguaggio assembler e linguaggio macchina (caso di studio: processore MIPS) Salvatore Orlando Arch. Elab. - S. Orlando 1 Livelli di astrazione Scendendo di livello, diventiamo più concreti e scopriamo

Dettagli

Lo sviluppo del progetto informatico

Lo sviluppo del progetto informatico Lo sviluppo del progetto informatico Il progetto Il controllo di qualità Le qualità per i prodotti di software Le figure professionali La metodologia La conoscenza degli obiettivi L analisi La progettazione

Dettagli