Progetto di una Slot Machine da sala scommesse implementata in VHDL e C#.

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Progetto di una Slot Machine da sala scommesse implementata in VHDL e C#."

Transcript

1 UNIVERSITA' DEGLI STUDI DI BOLOGNA FACOLTA' DI INGEGNERIA Corso di Laurea Magistrale in Ingegneria Informatica Calcolatori Elettronici M Prof. Giovanni Neri, Prof. Stefano Mattoccia Progetto di Calcolatori Elettronici M Progetto di una Slot Machine da sala scommesse implementata in VHDL e C#. Realizzato da: Andrea Manganaro Elio Romanelli Anno Accademico

2 Introduzione: Scopo della nostra applicazione è quello di sviluppare e simulare la centralina di una Slot Machine da sala scommesse, avente tre rulli con ognuno 8 simboli diversamente distribuiti e delle caratteristiche di vincita sottostanti a leggi del Monopolio di Stato. Queste macchine sono prepotentemente presenti in ambienti di scommesse come la SNAI o il BINGO e rappresentano uno dei maggiori introiti dello Stato Italiano a pari di beni di largo consumo come il tabacco e gli alcolici, anch essi sottoposti a tassazione e Monopolio Statale. Sono da considerarsi giochi d azzardo legalizzati, almeno nel territorio Italiano, e si basano sulla scommessa di denaro per trarne altro in base a delle combinazioni che i diversi simboli dei vari rulli possono creare. Sono stati presi dati tecnici da manuali reali di Slot Machine, dove venivano indicate tutte le caratteristiche fondamentali legate al funzionamento della stessa come valori di alimentazione, tempi di gioco (rispettati), percentuali di vincite (rispettate in base a leggi probabilistiche) e vari meccanismi di protezione del corretto funzionamento della macchina stessa (ad esempio distacco dell alimentazione, del cavo di rete o tentata forzatura del cassetto contenente la scheda o il denaro che bloccano la macchina avvertendo il gestore della stessa e le forze dell ordine), che non sono state implementate. Caratteristiche Tecniche del gioco (sito AAMS): Insieme con l'elemento aleatorio sono presenti anche elementi di abilità, che consentono al giocatore la possibilità di scegliere, all'avvio o nel corso della partita, la propria strategia, selezionando appositamente le opzioni di gara ritenute più favorevoli tra quelle proposte dal gioco; Ciascun apparecchio di gioco può funzionare unicamente se collegato alla rete telematica di AAMS, si attiva con l'introduzione di moneta nella divisa corrente (euro) e prevede un costo, per ciascuna partita, non superiore a 1 euro; La durata della partita non può essere inferiore a 4 secondi; La distribuzione di vincite in denaro, ciascuna di valore non superiore a 100,00 (cento) euro, avviene subito dopo la conclusione della partita esclusivamente in monete; Le vincite, computate dall apparecchio, in modo non predeterminabile, su un ciclo complessivo di non più di partite, non devono risultare inferiori al 75% delle somme giocate; L'uso di tali apparecchi è vietato ai minori di 18 anni;

3 Nel nostro progetto abbiamo cercato di rimanere fedeli alle specifiche ufficiali, permettendo al giocatore arbitrarietà nella scelta della modalità di gioco e dei simboli da mantenere. La valuta utilizzata è il credito singolo, rapportabile all'euro classicamente utilizzato nelle slot italiane, e le vincite saranno visualizzate tramite un display 7 segmenti. L'inserimento e il cashout sono processi meccanici non contemplati in fase di modellamento vhdl. La vincita massima corrisponde a 100 crediti e le possibilità di vittoria sono calcolate tramite tutte le possibili combinazioni possibili dei simboli in gioco. A livello statistico essere garantiscono un valore di payout simile al 75%. Moduli del progetto: Il progetto sottostante è stato sviluppato per moduli diversi, ognuno con una complessità particolare ma tutti interagenti nella simulazione del nostro prototipo di Slot Machine. Tutte le diverse entità sono attivate tramite dei segnali interni, che gestiscono la sincronizzazione e l'attivazione dei diversi processi presenti. L'allineamento di questi segnali, e l'avvio di una nuova fase di gioco sono garantiti dal modulo Game, che determina la fine della fase di gioco precedente tramite il restore dei segnali interni utilizzati nell'intero ciclo precedente. Le tre fasi di Random creano tre vettori pseudo-casuali che corrispondono agli 8 simboli diversi posizionati nelle wheels della slot machine, adeguatamente convertiti. Game2 gestisce la seconda fase di gioco, che precede il secondo spin, e quindi l'utilizzo dei pulsanti Hold e la creazione dei simboli sostituiti. L'ultimo modulo, Final, verifica ed assegna l'eventuale vincita. La Trascodifica, infine, visualizza il credito ottenuto tramite due unità 7segmenti, rispettivamente per le unità e le decine. L'interfaccia utente prevede diversi pulsanti, che permettono all'utente di gestire le varie fasi. I pulsanti Double e Play sono utilizzati nella prima fase per scegliere quale mosalità di gioco utilizzare. I pulsanti Hold1, Hold2, Hold3 e Play2, invece, sono utilizzabili nella seconda fase e consentono all'utente di stabilire la propria strategia di gioco e gli eventuali simboli da mantenere. Gli spin sono quindi 2, e, come si vedrà più avanti, la realizzazione della generazione dei rispettivi simboli è demandata a dei moduli appositi che si avvalgono di registri a scorrimento retroazionati con ex-or, e quindi che necessitano del clock. Gli altri moduli, essendo attivati a discrezione dell'utente, non presentano particolari esigenze di sincronismo hardware

4 Grafico totale delle connessioni tra moduli:

5 Entità SLOT MACHINE (VHDL): Button_Play: in std_logic; -- Pulsante di inizio gioco, proveniente dall'esterno Button_Double: in std_logic; -- Pulsante di modalità di gioco raddoppiata Button_Play2: in std_logic; -- Pulsante per attivare l'ultima fase di gioco Button_Hold1: in std_logic; -- Pulsante Hold 1 (mantenimento simbolo 1) Button_Hold2: in std_logic; -- Pulsante Hold 2 (mantenimento simbolo 2) Button_Hold3: in std_logic; -- Pulsante Hold 3 (mantenimento simbolo 3) Credit_Uni: out std_logic_vector(6 downto 0); -- Unità credito visualizzato sul display in 7segm. Credit_Dec: out std_logic_vector(6 downto 0); -- Decine credito visualizzato sul display in 7segm. Clock: in std_logic; -- Clock proveniente dall'esterno Clock1: in std_logic; -- Clock proveniente dall'esterno utilizzato per la randomizzazione dello spin della prima ruota su random Clock2: in std_logic; -- Clock proveniente dall'esterno utilizzato per la randomizzazione dello spin della seconda ruota su random2 Clock3: in std_logic -- Clock proveniente dall'esterno utilizzato per la randomizzazione dello spin della terza ruota su random3

6 Vediamo la definizione dei segnali interni utilizzati: signal start1_sign: std_logic; --Segnale interno che avvia la prima fase di gioco signal col1_sign, col2_sign, col3_sign: std_logic_vector(2 downto 0); -- Segnali per la generazione dei simboli nella fase 1 di gioco signal col1final_sign, col2final_sign, col3final_sign: std_logic_vector(2 downto 0); -- Segnali per la generazione dei simboli nella fase 2 di gioco signal start2_sign: std_logic; --Segnale interno che avvia la seconda fase di gioco signal start3_sign: std_logic; Segnale interno che avvia l'ultima fase di gioco signal double_sign: std_logic; --Segnale interno che avvia la modalità di gioco "Double" signal credit_sign: std_logic_vector(6 downto 0); --Segnale interno che comunica il credito vinto signal enable_sign: std_logic; --Segnale interno che abilita l'intero ciclo di gioco Entità SLOT MACHINE (C#): Diamo ora una rappresentazione iniziale della nostra Slot Machine nel linguaggio di programmazione usato per simulare, il C#. La prima interfaccia che compare all utente è quella di INSERT COIN, con la quale si abilita la fase di gioco e che rappresenta il requisito fondamentale per il funzionamento dell apparecchio. Dopo aver inserito una moneta, a livello software rappresentata dal valore 1, si materializza una seconda interfaccia, quella del gioco vero e proprio dove possiamo notare in alto il (CREDIT). La fase di Insert Coin non è presente in hardware essendo un procedimento meccanico, quindi, come vedremo più avanti, la modellazione vhdl prevederà direttamente l'avvio del gioco tramite il pulsante Play.

7 Lo (SPIN/PLAY/PLAY2) è il pulsante di avvio gioco con il quale i rulli inizieranno a distribuire i simboli che poi saranno comparati con le possibili vincite. Il pulsante DoubleBet, se selezionato prima di avviare il gioco, avvia la modalità di gioco raddoppiata, in cui non sarà possibile effettuare il secondo spin, ma che garantirà, in caso di vincita, premi raddoppiati. Ecco le configurazioni di vincita: if (final[1] == dragon && final[2] == dragon && final[3] == dragon) winnings = 50; gotospin = 0; jackpot.play();

8 if (final[1] == hero && final[2] == hero && final[3] == hero) winnings = 30; gotospin = 0; jackpot.play(); if (final[1] == dragon && final[2] == dragon && final[3]!= dragon final[1] == dragon && final[2]!= dragon && final[3] == dragon final[1]!= dragon && final[2] == dragon && final[3] == dragon) winnings = 5; if (final[1] == hero && final[2] == hero && final[3]!= hero final[1] == hero && final[2]!= hero && final[3] == hero final[1]!= hero && final[2] == hero && final[3] == hero) winnings = 3; if (final[1] == elf && final[2] == elf && final[3]!= elf final[1] == elf && final[2]!= elf && final[3] == elf final[1]!= elf && final[2] == elf && final[3] == elf) winnings = 1; Al termine di questa fase, le possibili configurazioni sono due: o si riesce a vincere o si perde e in quest ultimo caso se avevamo solo un credito, si materializza il GAME OVER (OUT OF CASH) con conseguente uscita dal sistema di gioco e visualizzazione dell interfaccia di INSERT COIN per un eventuale altra sessione.

9 Per la generazione dei CLOCK, a livello software, abbiamo usate le entità Timer, con le seguenti caratteristiche: // timspin // this.timspin.tick += new System.EventHandler(this.timSpin_Tick); // // timstop1 // this.timstop1.interval = 1000; this.timstop1.tick += new System.EventHandler(this.timStop1_Tick); // // timstop2 // this.timstop2.interval = 2000; this.timstop2.tick += new System.EventHandler(this.timStop2_Tick); // // timstop3 // this.timstop3.interval = 3000; this.timstop3.tick += new System.EventHandler(this.timStop3_Tick);

10 Componente GAME (VHDL): Il funzionamento di questa unità è duplice. Il processo principale gestisce l'avvio di una nuova fase di gioco, in cui il Player può scegliere tra modalità di gioco raddoppiata premendo il pulsante Double, o modalità di gioco normale direttamente tramite il tasto Play. Quest'ultimo invierà il segnale start ai tre moduli random successivi. Come si nota dallo schema generale i segnali start, utilizzati successivamente, sono posti in retroazione a questo modulo. Per la gestion delle diverse fasi di gioco questi segnali sono fondamentali, e la loro sincronizzazione, problematica, fondamentale per il funzionamento dell'intero sistema. L'entità Game viene avvertita del termina della fase di gioco precedente tramite il segnale Enable, e, una volta ricevuto quest'ultimo, azzererà Start1, Start2 e Start3, e sarà pronta per una nuova pressione del tasto Play. entity Game is Port ( Enable: inout std_logic; Play: in std_logic; start1: inout std_logic; start2: inout std_logic; start3: inout std_logic; Double: out std_logic;

11 end Game; Double_button: in std_logic ); Credit_refresh : process (enable) variable Credit_temp : std_logic_vector (6 downto 0) := (others => '0'); variable Credit_temp2 : std_logic_vector (6 downto 0) := (others => '0'); variable Credit_temp_bis : std_logic_vector (6 downto 0) := (others => '0'); start2 <= signal_null; start3 <= signal_null; Enable <= signal_null; end process Credit_refresh; Start_Game_process : process (Play) variable Double_temp : std_logic := '0'; variable Start1_var : std_logic := '0'; variable Start_start_1 : std_logic := '1'; variable Start_start_0 : std_logic := '0'; if (Play'event) and (Play = '1') then Start1_var := Start_start_1; if (Double_button = '1') then Double_temp := Start_start_1; else Double_temp := Start_start_0; end if; end if; Start1 <= Start1_var; Double <= Double_temp;

12 end process Start_game_process; end Behavioral; Componente RANDOM (VHDL): Componente necessario al sistema per generare dei valori che poi andranno a determinare il simbolo che comparirà sul display della Slot Machine. E un componente attivato al CLK e al segnale di START che fa partire la nostra applicazione e restituisce in uscita un numero che entrerà nella fase di conversione in immagine. component random1 Port ( clk1 : in std_logic; start1: in std_logic; col1: out std_logic_vector (2 downto 0) end component; component random2 is Port ( clk2 : in std_logic; start1: in std_logic; col2: out std_logic_vector (2 downto 0) end component; component random3 is Port ( clk3 : in std_logic; start1: in std_logic; start2: out std_logic; --output vector col3: out std_logic_vector (2 downto 0) end component;

13 Nei Random, andiamo a definire la grandezza dei valori che ci interessano. Nel nostro caso vogliamo ottenere casualmente un numero da 1 a 8 e trasferirlo in uscita per essere computato. Di sotto, il codice relativo al RANDOM_1, dove possiamo notare che il valore che vogliamo ottenere è compreso tra 0 e 7. Il processo di random è avviato al clock (alto). Il modulo random3, a differenza degli altri2, gestisce anche l'utilizzo del segnale interno start2, che, una volta generati i vettori, verrà inviato a game2 per permettere l'avvio della seconda fase di gioco e l'interazione dell'utente con i simboli appena visualizzati. A livello Software il tutto è stato semplicemente implementato come segue: private int NewIndex() return (myrandom.next(6) + 1);

14 Generazione dei numeri casuali, con relative immagini nella slot in movimento. if (timstop1.enabled) picbandit1.image = choices[newindex()].image; if (timstop2.enabled) picbandit2.image = choices[newindex()].image; if (timstop3.enabled) picbandit3.image = choices[newindex()].image; In C# la trascodifica è molto più semplice, prendo il numero random generato, lo interfaccio con il mio array e metto lo slot corrispondente nella maschera che mi visualizza l immagine. // Put random pictures in display picbandit1.image = choices[r1[r1]].image; picbandit2.image = choices[r2[r2]].image; picbandit3.image = choices[r3[r3]].image; private void timstop1_tick(object sender, EventArgs e) // Stop spinning of first display timstop1.enabled = false; final[1] = R1[r1]; picbandit1.image = choices[final[1]].image; picbandit1.refresh(); private void timstop2_tick(object sender, EventArgs e) // Stop spinning of second display timstop2.enabled = false; final[2] = R2[r2]; picbandit2.image = choices[final[2]].image; picbandit2.refresh(); private void timstop3_tick(object sender, EventArgs e) // Stop spinning of third display timstop3.enabled = false; final[3] = R3[r3]; picbandit3.image = choices[final[3]].image; picbandit3.refresh();

15 Per quanto riguarda i random, essi sono generati da 2 clock distinti e da una seria di registri retro-azionati con un ex-or. Eccone una dimostrazione grafica del funzionamento: Il componente utilizzato è un generatore di numeri pseudo-casuali, con sequenze numeriche di periodo (2^n -1), dove n è pari al numero di shift register utilizzati. Abbiamo optato per una sequenza di 7 bit per ogni processo di randomizzazione. I bit selezionati alla fine saranno 3, e in ogni modulo variano i pind di ingresso all' ex-or, in modo da aggiungere un ulteriore fattore di impredicibilità. architecture Behavioral of random1 is signal exit_num1 : std_logic_vector (2 downto 0); signal random_num : std_logic_vector (width-1 downto 0); process(clk1) -- processo che gestisce l'evoluzione dei numeri casuali variable rand_temp : std_logic_vector(width-1 downto 0):=(6 => '1',others => '0'); variable temp : std_logic := '0'; if(rising_edge(clk1)) then temp := rand_temp(width-2) xor rand_temp(width-5); rand_temp(width-1 downto 1) := rand_temp(width-2 downto 0); rand_temp(0) := temp; end if; random_num <= rand_temp after 1 ps; end process; processo_exit1: process(start1) is variable exit_temp : std_logic_vector(2 downto 0); if (start1' event) then exit_temp(2) := random_num(5); exit_temp(1) := random_num(3); exit_temp(0) := random_num(1); exit_num1 (2 downto 0) <= exit_temp (2 downto 0); end if; end process processo_exit1; END;

16 Per la conversione, si prendono in ingresso i 3 numeri random generati in precedenza e restituisce i simboli di ingresso alle fasi successive del nostro sistema. Da notare la conformazione dei rulli, dove i simboli più importanti, che danno maggiore vincita, sono in numero minore. Ad ogni valore generato dunque, corrisponde un immagine visualizzata nella slot. conversione_col1: process(exit_num1) is if (exit_num1 = "000") then col1 <="000"; --mage elsif (exit_num1 = "001") then col1 <="001"; --dragon elsif (exit_num1 = "010") then col1 <="010"; --hero elsif (exit_num1 = "011") then col1 <="010"; --hero elsif (exit_num1 = "100") then col1 <="011"; --elf elsif (exit_num1 = "101") then col1 <="100"; --orc elsif (exit_num1 = "110") then col1 <="100"; --orc elsif (exit_num1 = "111") then col1 <="101"; --death (anche 110 e 111) end if; end process conversione_col1; conversione_col2: process(exit_num2) is if (exit_num2 = "000") then col2 <="000"; --mage elsif (exit_num2 = "001") then col2 <="001"; --dragon elsif (exit_num2 = "010") then col2 <="010"; --hero elsif (exit_num2 = "011") then col2 <="011"; --elf elsif (exit_num2 = "100") then col2 <="011"; --elf elsif (exit_num2 = "101") then col2 <="100"; --orc elsif (exit_num2 = "110") then col2 <="100"; --orc elsif (exit_num2 = "111") then col2 <="101"; --death end if; end process conversione_col2; conversione_col3: process(exit_num3) is if (exit_num3 = "000") then col3 <="000"; --mage elsif (exit_num3 = "001") then col3 <="001"; --dragon elsif (exit_num3 = "010") then col3 <="010"; --hero elsif (exit_num3 = "011") then col3 <="011"; --elf elsif (exit_num3 = "100") then col3 <="011"; --elf elsif (exit_num3 = "101") then col3 <="100"; --orc elsif (exit_num3 = "110") then col3 <="100"; --orc elsif (exit_num3 = "111") then col3 <="101"; --death end if; end process conversione_col3; In C# invece abbiamo fatto uso di un semplice Array: // Conformazione Rulli di Default

17 int[] R1 = new int[8] 6, 5, 4, 4, 3, 2, 2, 1 ; int[] R2 = new int[8] 6, 5, 4, 3, 3, 2, 2, 1 ; int[] R3 = new int[8] 6, 5, 4, 3, 3, 2, 2, 1 ; Componente TRASCODIFICA (VHDL): Questo componente riceve in ingresso il CREDITO vinto, in base alla configurazione dei vari valori dei rulli è restituisce in uscita una rappresentazione a 7 segmenti del valore computato, sia in unità che in decine. component Trascodifica is Port ( Credit_win : in STD_LOGIC_VECTOR (6 downto 0); Credit_Disp_Uni: out STD_LOGIC_VECTOR (6 downto 0); Credit_Disp_Dec: out STD_LOGIC_VECTOR (6 downto 0)); end component; Dei 7 bit utilizzati non sono rappresentati tutti i valori visto che la vincita massima corrisponde a 100 crediti. Abbiamo fatto riferimento ad un display a 7 segmenti, vediamo il suo funzionamento.

18 Per convertire il segnale da STD_LOGIC ad intero, usiamo una variabile di appoggio. Ad ogni configurazione in decimale, facciamo corrispondere una configurazione binaria che andrà ad attivare i 7 segmenti del nostro display. processo_trascoder: process(credit) is variable T:integer:=0; --variabile di appoggio in cui convertire il segnale di ingresso in intero variable Du:integer:=0; intero adeguato variable Dd:integer:=0; intero adeguato --variabile di appoggio a cui assegnare il valore --variabile di appoggio a cui assegnare il valore T:=CONV_INTEGER(Credit); --conversione da std_logic ad intero if T=0 then Dd:=0; Du:=63; elsif T=1 then Dd:=0; Du:=9; elsif T=2 then Dd:=0; Du:=94; elsif T=3 then Dd:=0; Du:=91; elsif T=4 then Dd:=0; Du:=105; Credit_Disp_Uni<=CONV_STD_LOGIC_VECTOR(Dd,7); std_logic Credit_Disp_Dec<=CONV_STD_LOGIC_VECTOR(Du,7); std_logic --conversione da intero a --conversione da intero a Ecco come gestisco in C# le vincite: if (winnings > 0 && spin == 1 && gotospin==0) Hold1.Visible = false; Hold2.Visible = false; Hold3.Visible = false;

19 button1.visible = true; winnings = 0; spin++; gotospin = 1; if (winnings >= 0 && spin == 0) spin = 2; a = 0; b = 0; winnings = 0; Componente GAME2 (VHDL): Questa entità rappresenta la seconda parte del gioco, che si attiva tramite il segnale start2 e in seguito alla generazione casuale dei 3 simboli. Tramite i pulsanti HOLD1, HOLD2 e HOLD3 si ha la possibilità di mantenere i simboli più favorevoli per la seconda fase di spin. Questa possibilità di gioco è invece inibita qualora il giocatore avesse selezionato (all'inizio del gioco) per la modalità di gioco DOUBLE, che non prevede la seconda fase di spin ma che, in caso di vincita, raddoppia i premi in palio. In questo secondo caso, gli HOLD sono disabilitati e si passa alla fase FINAL, alle quali passo le configurazioni di simboli definitive, dove saprò se ho vinto o meno. L'assegnamento dei nuovi simboli è determinato da un nuovo generatore di numeri pseudo-casuali, come in precedenza, ma questa voltà sarà condiviso da tutte e 3 le colonne sebbene i

20 simboli siano calcolati retro-azionando diversamente i pin di ingresso. Una volta completata questa seconda fase di spin vine attivato il segnale start3 che trasporta i segnali col1final, col2final, col3final alla fase finale di gioco. Questi sono i simboli definitivi, e nel modulo successivo verrà implementato il check di vincita. Via software, il tutto è stato trattato nel seguente modo: if (doublebet == 1) button1.backcolor = System.Drawing.Color.Beige; spin++; //Forzatura del conteggio, che riporta lo stato inziale bankroll += (2 * winnings); MessageBox.Show("DOUBLE BET - You Win" + Convert.ToString(2 * winnings)); component Game2 is Port (clk: in std_logic; col1, col2, col3: in std_logic_vector(2 downto 0); col1final, col2final, col3final: out std_logic_vector(2 downto 0); hold1, hold2, hold3: in std_logic; double: in std_logic; start2: in std_logic; start3: out std_logic; play2: in std_logic); end component; architecture Behavioral of Game2 is shared variable new_col1: std_logic_vector(2 downto 0); shared variable new_col2: std_logic_vector(2 downto 0); shared variable new_col3: std_logic_vector(2 downto 0); second_random: process (clk) is variable rand_temp_fin : std_logic_vector(6 downto 0):=(6 => '1',others => '0'); variable exit_temp_fin1 : std_logic_vector(2 downto 0); variable exit_temp_fin2 : std_logic_vector(2 downto 0); variable exit_temp_fin3 : std_logic_vector(2 downto 0); variable temp_fin : std_logic := '0'; if(rising_edge(clk)) then temp_fin := rand_temp_fin(4) xor rand_temp_fin(1); rand_temp_fin(6 downto 1) := rand_temp_fin(5 downto 0); rand_temp_fin(0) := temp_fin; end if; exit_temp_fin1(2) := rand_temp_fin(5); exit_temp_fin1(1) := rand_temp_fin(3);

21 exit_temp_fin1(0) := rand_temp_fin(1); new_col1(2 downto 0) := exit_temp_fin1 (2 downto 0); exit_temp_fin2(2) := rand_temp_fin(6); exit_temp_fin2(1) := rand_temp_fin(2); exit_temp_fin2(0) := rand_temp_fin(0); new_col2(2 downto 0) := exit_temp_fin2 (2 downto 0); exit_temp_fin3(2) := rand_temp_fin(4); exit_temp_fin3(1) := rand_temp_fin(3); exit_temp_fin3(0) := rand_temp_fin(1); new_col3(2 downto 0) := exit_temp_fin3 (2 downto 0); end process second_random; second_spin: process (start2) is variable temp2: std_logic := '1'; In C# il random è stato implementato come segue: r1 = myrandom.next(7); r2 = myrandom.next(7); r3 = myrandom.next(7); Sotto, viene evidenziata la modalità con la quale vengono gestite le colonne del caso del secondo PLAY con eventuali HOLD. Se si è scelta la modalità DOUBLE non si passa per l HOLD e si mantengono le colonne avute in precedenza, ritornando così alla fase iniziale. Se invece una colonna viene mantenuta con il pulsante di HOLD, il suo valore resta costante anche durante la seconda computazione mentre varia quello del rullo lasciato libero. A livello software, abbiamo fatto una semplice disattivazione dei pulsanti di HOLD, che sotto alcune condizioni non vengono visualizzati, non dando al giocatore la possibilità di poterli adoperare. if (spin == 1 && doublebet!= 1) Hold1.BackColor = System.Drawing.Color.Beige;

22 Hold2.BackColor = System.Drawing.Color.Beige; Hold3.BackColor = System.Drawing.Color.Beige; Hold1.Visible = true; Hold2.Visible = true; Hold3.Visible = true; button1.visible = false; else Hold1.Visible = false; Hold2.Visible = false; Hold3.Visible = false; button1.visible = true; if (play2' event) and (play2='1') then elsif (col1=col2) and (col2=col3) then col1final <= col1; col2final <= col2; col3final <= col3; start3 <= temp2; elsif (hold1='1') then col1final <= col1; col2final <= new_col2; col3final <= new_col3; start3 <= temp2; els if (hold1='1') and (hold2='1') then col1final <= col1; col2final <= col2; col3final <= new_col3; start3 <= temp2; elsif (hold1='1') and (hold2='1') and (hold3='1') then col1final <= col1; col2final <= col2; col3final <= col3; start3 <= temp2; elsif (hold2='1') then col1final <= new_col1; col2final <= col2; col3final <= new_col3; start3 <= temp2; elsif (hold2='1') and (hold3='1') then col1final <= new_col1; col2final <= col2; col3final <= col3; start3 <= temp2; elsif (hold3='1') then col1final <= new_col1; col2final <= new_col2; col3final <= col3; start3 <= temp2; end if;

23 end if; end process second_spin; In C# l HOLD è stato implementato come segue, con le variabili a,b e c che fermano lo spin del rullo selezionato // Start timers timspin.enabled = true; if (spin == 1) timstop1.enabled = true; timstop2.enabled = true; timstop3.enabled = true; else if (spin == 0 && a == 1 && b == 1) timstop1.enabled = false; timstop2.enabled = false; timstop3.enabled = true; else if (spin == 0 && a == 0 && b == 1) timstop1.enabled = true; timstop2.enabled = false; timstop3.enabled = true; else if (spin == 0 && a == 1 && b == 0) timstop1.enabled = false; timstop2.enabled = true; timstop3.enabled = true; else if (spin == 0 && a == 0 && b == 0) timstop1.enabled = true; timstop2.enabled = true; timstop3.enabled = true; Il pulsante di HOLD, attivato soltanto se non si è scelta l opzione DOUBLE BET e la prima fase di SPIN non ha riscontrato una configurazione valida (quindi una vincita che fa ripartire il sistema dalla condizione iniziale) serve per mantenere fissa la posizione di uno o più rulli per avviarsi alla fase 2 dove

24 si cercherà di ottenere una vincita (relativamente alle combinazioni valide definite). Componente Final (VHDL): entity Final is port (clk1,clk : in std_logic; double: in std_logic;

25 end Final; start1: inout std_logic; start3: in std_logic; col1final, col2final, col3final: in std_logic_vector(2 downto 0); enable: out std_logic; credit_win: out std_logic_vector(6 downto 0)); Questo componente gestisce il check delle vincite ed è attivato dal segnale start3. In ingresso avremo i valori finali delle 3 colonne, il valore di double (1 se la modalità è attivata) e i valori del clock clk e clk1 (utilizzati per la creazione dei premi in caso di bonus). Il processo di check_win confronta i risultati delle 3 colonne con la tabella dei premi, e, qualora la combinazione restituisca una vincita, pone questo valore in uscita tramite il segnale credit_win e l'enable, utilizzato per segnalare la conclusione del gioco. Se il gioco era in modalità double i premi (ad eccezione del bonus) sono raddoppiati. L implemetazione in C# di questo sotto gioco (dato dal BONUS) è la seguente con relativi screen shoot. int[] Bonus = new int[3] 100, 50, 10 ; if (final[1] == mage && final[2] == mage && final[3] == mage)

26 MessageBox.Show("BONUS"); button2.visible = true; button3.visible = true; button4.visible = true; Hold1.Visible = false; Hold2.Visible = false; Hold3.Visible = false; spin++;

27 In VHDL il tutto è stato implementato utilizzando i valori temporanei di clk1 e clk per gestire la casualità dei premi dati dal bonus, che ammontano rispettivamente a 100 crediti, 50 crediti e 10 crediti. if (bonus_sign'event) and (bonus_sign='1') and (hold1='1')then if (clk1 = '1') then credit_win <= " "; enable <= temp3; elsif (clk = '1') then credit_win <= " "; enable <= temp3; else credit_win <= " "; enable <= temp3; end if; en d if; end process Bonus_process; Per quanto riguarda la modalità DOUBLE, sotto è indicato il caso in cui il giocatore nell unico tiro a disposizione non riesce a indovinare una configurazione di pagamento quindi non ha a disposizione il secondo SPIN e quindi la possibilità di fare l HOLD dei simboli prima comparsi. Vediamo come è stato implementata in C# la modalità DOUBLE: if (doublebet == 1) button1.backcolor = System.Drawing.Color.Beige; spin++; bankroll += (2 * winnings); MessageBox.Show("DOUBLE BET - You Win" + Convert.ToString(2 * winnings));

28 E adesso l implementazione in VHLD della giocata DOUBLE (nel caso escano 3 figure drago ): if (start3'event) and (start3 = '1') then if (col1final="001") and (col2final="001") and (col3final="001") then if (double = '1') then credit_win <= " "; enable <= temp3; else credit_win <= " "; enable <= temp3; end if; NB: L implementazione del raddoppio dei primi (in caso di vincita) è stato semplicement e effettuato spostando a destra la configurazione binaria della vincita, che rappr esenta tecnicamente una moltiplicazione per 2.

29 Simulazione: Per quanto riguarda la simulazione, i risultati ottenuti sono stati soddisfacenti. A livello software (dagli screen shot prima postati) possiamo vedere come il sistema reagisca come deve agli impulsi dati. Abbiamo dunque formalizzato degli array con quello che volevamo e abbiamo ottenuto le giuste risposte. Ecco le configurazioni che danno i vari tipi di scenari: // Conformazione Rulli di Default int[] R1 = new int[8] 6, 5, 4, 3, 3, 2, 2, 1 ; int[] R2 = new int[8] 6, 5, 4, 3, 3, 2, 2, 1 ; int[] R3 = new int[8] 6, 5, 4, 4, 3, 2, 2, 1 ; // Conformazione Rulli per BONUS int[] R1 = new int[8] 6, 6, 6, 6, 6, 6, 6, 6 ; int[] R2 = new int[8] 6, 6, 6, 6, 6, 6, 6, 6 ; int[] R3 = new int[8] 6, 6, 6, 6, 6, 6, 6, 6 ; // Conformazione Rulli per vincita di 50E // int[] R1 = new int[8] 5, 5, 5, 5, 5, 5, 5, 5 ; // int[] R2 = new int[8] 5, 5, 5, 5, 5, 5, 5, 5 ; // int[] R3 = new int[8] 5, 5, 5, 5, 5, 5, 5, 5 ; // Conformazione Rulli per vincita di 0E (Credito=0 --> GAME OVER) // int[] R1 = new int[8] 1, 1, 1, 1, 1, 1, 1, 1 ; // int[] R2 = new int[8] 1, 1, 1, 1, 1, 1, 1, 1 ; // int[] R3 = new int[8] 1, 1, 1, 1, 1, 1, 1, 1 ; // Conformazione Rulli per vincita di 3E --> XCC (VERIFICA HOLD) // int[] R1 = new int[8] 1, 2, 3, 4, 5, 6, 1, 2 ; // int[] R2 = new int[8] 4, 4, 4, 4, 4, 4, 4, 4 ; // int[] R3 = new int[8] 4, 4, 4, 4, 4, 4, 4, 4 ; Per quanto riguarda la simulazione hardware del funzionamento, come si vedrà più avanti, il testbench generale del sistema rappresenta in uscita esclusicamente il credito vinto in seguito alle due fasi di gioco, non permettendo quindi di vedere l'andamento dei segnali interni. E' di maggiore interesse analizzare il funzionamento delle singole entità e la loro reazione in base a determinati valori di ingresso. FASE RANDOM: Abbiamo generato un clock e abbiamo visto come il sistema reagisce alla fornitura del valore casuale ARCHITECTURE behavior OF randomtest IS --Input and Output definitions. signal clk1 : std_logic := '0'; signal start1: std_logic := '0';

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli Regolamentazioni AAMS Descrizione del progetto GAMEGAME RANDOM RANDOM 1-2-31 GAME GAME 2 FINALFINAL

Dettagli

BLACK SLOT COME SI GIOCA A BLACK SLOT:

BLACK SLOT COME SI GIOCA A BLACK SLOT: BLACK SLOT COME SI GIOCA A BLACK SLOT: Questo videogioco utilizza quattro display per informare il giocatore lungo tutto il corso della partita. Indicheremo questi display con le lettere A) B) C) D) per

Dettagli

Registratori di Cassa

Registratori di Cassa modulo Registratori di Cassa Interfacciamento con Registratore di Cassa RCH Nucleo@light GDO BREVE GUIDA ( su logiche di funzionamento e modalità d uso ) www.impresa24.ilsole24ore.com 1 Sommario Introduzione...

Dettagli

MONDIAL REGOLAMENTO Regole del gioco Simbolo WILD Simbolo Scatter

MONDIAL REGOLAMENTO Regole del gioco Simbolo WILD Simbolo Scatter MONDIAL REGOLAMENTO Regole del gioco MONDIAL è una video slot con 5 rulli e 3 righe con simboli Wild, Instant Win, Bonus e Scatter. Puoi giocare da 1 a 25 linee di gioco, da 1 fino a 5 gettoni per linea,

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

BALDAZZI STYL ART S.P.A. - VIA DELL ARTIGIANO 17-40065 PIANORO (BO) TEL. 051-6516102 - FAX 051-6516142 INFO@BALDAZZI.COM. Caratteristiche Tecniche

BALDAZZI STYL ART S.P.A. - VIA DELL ARTIGIANO 17-40065 PIANORO (BO) TEL. 051-6516102 - FAX 051-6516142 INFO@BALDAZZI.COM. Caratteristiche Tecniche Caratteristiche Tecniche APPARECCHIO CON CABINET ORIGINARIO LAS VEGAS COMPACT (PRODUTTORE: BALDAZZI STYL ART) Larghezza: 496 mm Profondita : 445mm Altezza:1815mm SCHEDA ESPLICATIVA CINEMA REV. 03 PAG.

Dettagli

Guida Compilazione Piani di Studio on-line

Guida Compilazione Piani di Studio on-line Guida Compilazione Piani di Studio on-line SIA (Sistemi Informativi d Ateneo) Visualizzazione e presentazione piani di studio ordinamento 509 e 270 Università della Calabria (Unità organizzativa complessa-

Dettagli

BALDAZZI STYL ART S.p.A. - Via dell artigiano 17-40065 Pianoro (BO) Tel. 051-6516102 - Fax 051-6516142 info@baldazzi.com

BALDAZZI STYL ART S.p.A. - Via dell artigiano 17-40065 Pianoro (BO) Tel. 051-6516102 - Fax 051-6516142 info@baldazzi.com BALDAZZI STYL ART S.p.A. Via dell artigiano 17 40065 Pianoro (BO) Tel. 0516516102 Fax 0516516142 info@baldazzi.com 1.f Regole che governano il gioco All avvio della partita si gioca sempre nei 5 rulli.

Dettagli

Guida alla configurazione della posta elettronica dell Ateneo di Ferrara sui più comuni programmi di posta

Guida alla configurazione della posta elettronica dell Ateneo di Ferrara sui più comuni programmi di posta Guida alla configurazione della posta elettronica dell Ateneo di Ferrara sui più comuni programmi di posta. Configurazione Account di posta dell Università di Ferrara con il Eudora email Eudora email può

Dettagli

Invio SMS. DM Board ICS Invio SMS

Invio SMS. DM Board ICS Invio SMS Invio SMS In questo programma proveremo ad inviare un SMS ad ogni pressione di uno dei 2 tasti della DM Board ICS. Per prima cosa creiamo un nuovo progetto premendo sul pulsante (Create new project): dove

Dettagli

MANUALE PARCELLA FACILE PLUS INDICE

MANUALE PARCELLA FACILE PLUS INDICE MANUALE PARCELLA FACILE PLUS INDICE Gestione Archivi 2 Configurazioni iniziali 3 Anagrafiche 4 Creazione prestazioni e distinta base 7 Documenti 9 Agenda lavori 12 Statistiche 13 GESTIONE ARCHIVI Nella

Dettagli

Excel. A cura di Luigi Labonia. e-mail: luigi.lab@libero.it

Excel. A cura di Luigi Labonia. e-mail: luigi.lab@libero.it Excel A cura di Luigi Labonia e-mail: luigi.lab@libero.it Introduzione Un foglio elettronico è un applicazione comunemente usata per bilanci, previsioni ed altri compiti tipici del campo amministrativo

Dettagli

12 - Introduzione alla Programmazione Orientata agli Oggetti (Object Oriented Programming OOP)

12 - Introduzione alla Programmazione Orientata agli Oggetti (Object Oriented Programming OOP) 12 - Introduzione alla Programmazione Orientata agli Oggetti (Object Oriented Programming OOP) Programmazione e analisi di dati Modulo A: Programmazione in Java Paolo Milazzo Dipartimento di Informatica,

Dettagli

Play Avvia la giocata con il bet level e il numero di linee impostati. Messaggio: "Spin". Tasto scelta rapida: Spazio.

Play Avvia la giocata con il bet level e il numero di linee impostati. Messaggio: Spin. Tasto scelta rapida: Spazio. XCALIBUR HD La Slot Multilinea è una slot machine a rulli virtuali caratterizzata da molteplici linee di puntata (bet line); offre quindi la possibilità, giocando su più linee, di realizzare più frequentemente

Dettagli

Manuale Amministratore Legalmail Enterprise. Manuale ad uso degli Amministratori del Servizio Legalmail Enterprise

Manuale Amministratore Legalmail Enterprise. Manuale ad uso degli Amministratori del Servizio Legalmail Enterprise Manuale Amministratore Legalmail Enterprise Manuale ad uso degli Amministratori del Servizio Legalmail Enterprise Pagina 2 di 16 Manuale Amministratore Legalmail Enterprise Introduzione a Legalmail Enterprise...3

Dettagli

Guida alla registrazione on-line di un DataLogger

Guida alla registrazione on-line di un DataLogger NovaProject s.r.l. Guida alla registrazione on-line di un DataLogger Revisione 3.0 3/08/2010 Partita IVA / Codice Fiscale: 03034090542 pag. 1 di 17 Contenuti Il presente documento è una guida all accesso

Dettagli

Regolamento Casinò Poker Joker Poker

Regolamento Casinò Poker Joker Poker Regolamento Casinò Poker Joker Poker Lo scopo del poker Joker è di ottenere una mano di poker da 5 carte che contenga una combinazione vincente (vedi la tabella dei pagamenti sulla macchina). Migliore

Dettagli

BALDAZZI STYL ART S.p.A. - Via dell artigiano 17-40065 Pianoro (BO) Tel. 051-6516102 - Fax 051-6516142 info@baldazzi.com

BALDAZZI STYL ART S.p.A. - Via dell artigiano 17-40065 Pianoro (BO) Tel. 051-6516102 - Fax 051-6516142 info@baldazzi.com 1.f Regole che governano il gioco Il gioco si sviluppa nei seguenti ambienti: - gioco 5 rulli singola slot - gioco multiaction (slot multiple, fino a 8) - bonus free-spin - bonus multiaction - bonus gondola

Dettagli

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU Lezione n.7 Il moltiplicatore binario e il ciclo di base di una CPU 1 SOMMARIO Architettura del moltiplicatore Architettura di base di una CPU Ciclo principale di base di una CPU Riprendiamo l analisi

Dettagli

Gestione Risorse Umane Web

Gestione Risorse Umane Web La gestione delle risorse umane Gestione Risorse Umane Web Generazione attestati di partecipazione ai corsi di formazione (Versione V03) Premessa... 2 Configurazione del sistema... 3 Estrattore dati...

Dettagli

Mac Application Manager 1.3 (SOLO PER TIGER)

Mac Application Manager 1.3 (SOLO PER TIGER) Mac Application Manager 1.3 (SOLO PER TIGER) MacApplicationManager ha lo scopo di raccogliere in maniera centralizzata le informazioni piu salienti dei nostri Mac in rete e di associare a ciascun Mac i

Dettagli

Il calendario di Windows Vista

Il calendario di Windows Vista Il calendario di Windows Vista Una delle novità introdotte in Windows Vista è il Calendario di Windows, un programma utilissimo per la gestione degli appuntamenti, delle ricorrenze e delle attività lavorative

Dettagli

BALDAZZI STYL ART S.p.A. - Via dell artigiano 17-40065 Pianoro (BO) Tel. 051-6516102 - Fax 051-6516142 info@baldazzi.com

BALDAZZI STYL ART S.p.A. - Via dell artigiano 17-40065 Pianoro (BO) Tel. 051-6516102 - Fax 051-6516142 info@baldazzi.com 1.f Regole che governano il gioco Il gioco si suddivide essenzialmente i tre parti: - gioco 5 rulli - gioco 5 rulli con free-spin - gioco bonus DREAM CATCHER (acchiappa-sogni) All avvio della partita si

Dettagli

IL MIO PRIMO SITO: NEWS

IL MIO PRIMO SITO: NEWS Pagina 1 IL MIO PRIMO SITO: NEWS Sommario IL MIO PRIMO SITO: NEWS...1 Introduzione...2 I Contenitori...2 Creo un Contenitore...3 I Tracciati...4 Creo le Notizie...6 Inserisco il Testo...6 Inserisco un

Dettagli

REGOLAMENTO TENNIS STAR

REGOLAMENTO TENNIS STAR REGOLAMENTO TENNIS STAR Slot machine a 5 rulli e 40 linee Lo scopo del gioco Tennis Star è ottenere una combinazione vincente di simboli dopo la rotazione dei rulli. Per giocare: Il valore del gettone

Dettagli

Mon Ami 3000 Multimagazzino Gestione di più magazzini fisici e/o logici

Mon Ami 3000 Multimagazzino Gestione di più magazzini fisici e/o logici Prerequisiti Mon Ami 3000 Multimagazzino Gestione di più magazzini fisici e/o logici L opzione Multimagazzino è disponibile per le versioni Azienda Light e Azienda Pro. Introduzione L opzione Multimagazzino

Dettagli

REGOLAMENTO GLADIATOR

REGOLAMENTO GLADIATOR REGOLAMENTO GLADIATOR Slot machine a 5 rulli e 25 linee Lo scopo del gioco Gladiator è ottenere una combinazione vincente di simboli dopo la rotazione dei rulli. Per giocare: Il valore del gettone può

Dettagli

In questo manuale sono indicate le procedure per utilizzare correttamente la gestione delle offerte dei fornitori.

In questo manuale sono indicate le procedure per utilizzare correttamente la gestione delle offerte dei fornitori. Release 5.20 Manuale Operativo ORDINI PLUS Gestione delle richieste di acquisto In questo manuale sono indicate le procedure per utilizzare correttamente la gestione delle offerte dei fornitori. La gestione

Dettagli

PROCEDURA INVENTARIO DI MAGAZZINO di FINE ESERCIZIO (dalla versione 3.2.0)

PROCEDURA INVENTARIO DI MAGAZZINO di FINE ESERCIZIO (dalla versione 3.2.0) PROCEDURA INVENTARIO DI MAGAZZINO di FINE ESERCIZIO (dalla versione 3.2.0) (Da effettuare non prima del 01/01/2011) Le istruzioni si basano su un azienda che ha circa 1000 articoli, che utilizza l ultimo

Dettagli

ICARO Terminal Server per Aprile

ICARO Terminal Server per Aprile ICARO Terminal Server per Aprile Icaro è un software aggiuntivo per Aprile (gestionale per centri estetici e parrucchieri) con funzionalità di terminal server: gira sullo stesso pc dove è installato il

Dettagli

GHPPEditor è un software realizzato per produrre in modo rapido e guidato un part program per controlli numerici Heidenhain.

GHPPEditor è un software realizzato per produrre in modo rapido e guidato un part program per controlli numerici Heidenhain. *+33(GLWRU GHPPEditor è un software realizzato per produrre in modo rapido e guidato un part program per controlli numerici Heidenhain. Il programma si basa su un architettura di tasti funzionali presenti

Dettagli

APPARECCHIO CON CABINET ORIGINARIO LAS VEGAS COMPACT (PRODUTTORE: BALDAZZI STYL ART)

APPARECCHIO CON CABINET ORIGINARIO LAS VEGAS COMPACT (PRODUTTORE: BALDAZZI STYL ART) APPARECCHIO CON CABINET ORIGINARIO LAS VEGAS COMPACT (PRODUTTORE: BALDAZZI STYL ART) Larghezza: 496 mm Profondita : 445mm Altezza:1815mm Scheda esplicativa MR. BILLIONAIRE rev. 02 Pag. 12 di 32 APPARECCHIO

Dettagli

CONTATORI ASINCRONI. Fig. 1

CONTATORI ASINCRONI. Fig. 1 CONTATORI ASINCRONI Consideriamo di utilizzare tre Flip Flop J K secondo lo schema seguente: VCC Fig. 1 Notiamo subito che tuttigli ingressi J K sono collegati alle Vcc cioe allo stato logico 1, questo

Dettagli

ControlloCosti. Cubi OLAP. Controllo Costi Manuale Cubi

ControlloCosti. Cubi OLAP. Controllo Costi Manuale Cubi ControlloCosti Cubi OLAP I cubi OLAP Un Cubo (OLAP, acronimo di On-Line Analytical Processing) è una struttura per la memorizzazione e la gestione dei dati che permette di eseguire analisi in tempi rapidi,

Dettagli

REGOLAMENTO TOP TRUMPS CELEBS

REGOLAMENTO TOP TRUMPS CELEBS REGOLAMENTO TOP TRUMPS CELEBS Slot machine a 5 rulli e 20 linee Lo scopo del gioco Top Trumps Celebs è ottenere una combinazione vincente di simboli dopo la rotazione dei rulli. Per giocare: Il valore

Dettagli

PORTALE CLIENTI Manuale utente

PORTALE CLIENTI Manuale utente PORTALE CLIENTI Manuale utente Sommario 1. Accesso al portale 2. Home Page e login 3. Area riservata 4. Pagina dettaglio procedura 5. Pagina dettaglio programma 6. Installazione dei programmi Sistema operativo

Dettagli

AD HOC Servizi alla Persona

AD HOC Servizi alla Persona Software per la gestione dell amministrazione AD HOC Servizi alla Persona GESTIONE PASTI RESIDENZIALE Le principali funzioni del modulo pasti si possono suddividere nei seguenti punti: gestire le prenotazioni

Dettagli

CTVClient. Dopo aver inserito correttamente i dati, verrà visualizzata la schermata del tabellone con i giorni e le ore.

CTVClient. Dopo aver inserito correttamente i dati, verrà visualizzata la schermata del tabellone con i giorni e le ore. CTVClient Il CTVClient è un programma per sistemi operativi Windows che permette la consultazione e la prenotazione delle ore dal tabellone elettronico del Circolo Tennis Valbisenzio. Per utilizzarlo è

Dettagli

Mon Ami 3000 Produzione base Produzione articoli con distinta base e calcolo dei fabbisogni

Mon Ami 3000 Produzione base Produzione articoli con distinta base e calcolo dei fabbisogni Prerequisiti Mon Ami 3000 Produzione base Produzione articoli con distinta base e calcolo dei fabbisogni L opzione Produzione base è disponibile per le versioni Azienda Light e Azienda Pro. Introduzione

Dettagli

Aggiornamento v. 1.14.02 Integrazione al manuale d uso

Aggiornamento v. 1.14.02 Integrazione al manuale d uso 1) Listini: nuovo campo Non aggiornare Questa nuova funzionalità a cosa serve? E utile nel caso vengano pattuiti, con clienti o fornitori, degli accordi fuori dallo standard su determinati articoli. Sostanzialmente

Dettagli

ARCHITETTURA DI RETE FOLEGNANI ANDREA

ARCHITETTURA DI RETE FOLEGNANI ANDREA ARCHITETTURA DI RETE FOLEGNANI ANDREA INTRODUZIONE È denominata Architettura di rete un insieme di livelli e protocolli. Le reti sono organizzate gerarchicamente in livelli, ciascuno dei quali interagisce

Dettagli

CHIUSURE di MAGAZZINO di FINE ANNO

CHIUSURE di MAGAZZINO di FINE ANNO CHIUSURE di MAGAZZINO di FINE ANNO Operazioni da svolgere per il riporto delle giacenze di fine esercizio Il documento che segue ha lo scopo di illustrare le operazioni che devono essere eseguite per:

Dettagli

SOMMARIO... 3 INTRODUZIONE...

SOMMARIO... 3 INTRODUZIONE... Sommario SOMMARIO... 3 INTRODUZIONE... 4 INTRODUZIONE ALLE FUNZIONALITÀ DEL PROGRAMMA INTRAWEB... 4 STRUTTURA DEL MANUALE... 4 INSTALLAZIONE INRAWEB VER. 11.0.0.0... 5 1 GESTIONE INTRAWEB VER 11.0.0.0...

Dettagli

Guida per l'installazione del software MasterOnEuro

Guida per l'installazione del software MasterOnEuro Guida per l'installazione del software MasterOnEuro Versione del manuale 1.1 Relativo alla Versione Software MasterOnEuro 2.1 Sommario 1 Licenza d'uso e garanzia 2 Prima parte 3 3 4 6 Seconda parte 17

Dettagli

OSSERVATORIO REGIONALE CONTRATTI PUBBLICI DI LAVORI, SERVIZI E FORNITURE

OSSERVATORIO REGIONALE CONTRATTI PUBBLICI DI LAVORI, SERVIZI E FORNITURE REGIONE LOMBARDIA DIREZIONE GENERALE INFRASTRUTTURE E MOBILITA U.O. INFRASTRUTTURE VIARIE E AEROPORTUALI OSSERVATORIO REGIONALE CONTRATTI PUBBLICI DI LAVORI, SERVIZI E FORNITURE PROGRAMMI TRIENNALI Manuale

Dettagli

Mon Ami 3000 Centri di costo Contabilità analitica per centri di costo/ricavo e sub-attività

Mon Ami 3000 Centri di costo Contabilità analitica per centri di costo/ricavo e sub-attività Prerequisiti Mon Ami 000 Centri di costo Contabilità analitica per centri di costo/ricavo e sub-attività L opzione Centri di costo è disponibile per le versioni Contabilità o Azienda Pro. Introduzione

Dettagli

FOWL PLAY GOLD 2. Metodo valido per tutte le versioni QUESTO METODO E STATO RICEVUTO GRATUITAMENTE DA TRUCCHIVSLOT. LA VENDITA E VIETATA.

FOWL PLAY GOLD 2. Metodo valido per tutte le versioni QUESTO METODO E STATO RICEVUTO GRATUITAMENTE DA TRUCCHIVSLOT. LA VENDITA E VIETATA. FOWL PLAY GOLD 2 Metodo valido per tutte le versioni QUESTO METODO E STATO RICEVUTO GRATUITAMENTE DA TRUCCHIVSLOT. LA VENDITA E VIETATA. IL METODO Il principio su cui si basa questo metodo è quello di

Dettagli

Consiglio regionale della Toscana. Regole per il corretto funzionamento della posta elettronica

Consiglio regionale della Toscana. Regole per il corretto funzionamento della posta elettronica Consiglio regionale della Toscana Regole per il corretto funzionamento della posta elettronica A cura dell Ufficio Informatica Maggio 2006 Indice 1. Regole di utilizzo della posta elettronica... 3 2. Controllo

Dettagli

BALDAZZI STYL ART S.p.A. - Via dell artigiano 17-40065 Pianoro (BO) Tel. 051-6516102 - Fax 051-6516142 info@baldazzi.com

BALDAZZI STYL ART S.p.A. - Via dell artigiano 17-40065 Pianoro (BO) Tel. 051-6516102 - Fax 051-6516142 info@baldazzi.com APPARECCHIO CON CABINET ORIGINARIO LAS VEGAS COMPACT (PRODUTTORE: BALDAZZI STYL ART) Larghezza: 496 mm Profondita : 445mm Altezza:1815mm Scheda esplicativa XCALIBUR rev. 02 Pag. 11 di 28 APPARECCHIO CON

Dettagli

SPHINX ULTIMATE. id permanente dell apparecchio CODEID _. (Introdotto dalla rete telematica AAMS e qui trascritto dal proprietario/possessore)

SPHINX ULTIMATE. id permanente dell apparecchio CODEID _. (Introdotto dalla rete telematica AAMS e qui trascritto dal proprietario/possessore) SPHINX ULTIMATE APPARECCHIO ELETTRONICO DA INTRATTENIMENTO di cui all art. 110, comma 6, lettera A, del Testo Unico delle leggi di Pubblica Sicurezza Omologato dalla Amministrazione Autonoma dei Monopoli

Dettagli

PROGRAMMA SVOLTO NELLA SESSIONE N.

PROGRAMMA SVOLTO NELLA SESSIONE N. Università C. Cattaneo Liuc, Corso di Statistica, Sessione n. 1, 2014 Laboratorio Excel Sessione n. 1 Venerdì 031014 Gruppo PZ Lunedì 061014 Gruppo AD Martedì 071014 Gruppo EO PROGRAMMA SVOLTO NELLA SESSIONE

Dettagli

MANUALE D USO DEL CONTATEMPO

MANUALE D USO DEL CONTATEMPO MANUALE D USO DEL CONTATEMPO Accendere il dispositivo con l apposito interruttore, l alimentazione può essere data dalla rete a 220V o, in mancanza, da batterie tampone ricaricabili almeno 40 minuti 1

Dettagli

REGOLAMENTO FRANKIE DETTORI MAGIC 7

REGOLAMENTO FRANKIE DETTORI MAGIC 7 REGOLAMENTO FRANKIE DETTORI MAGIC 7 Slot machine a 5 rulli e 25 linee Lo scopo di Frankie Dettori Magic 7 è ottenere una combinazione vincente di simboli dopo la rotazione dei rulli. Per giocare: Il valore

Dettagli

Definire all'interno del codice un vettore di interi di dimensione DIM, es. int array[] = {1, 5, 2, 4, 8, 1, 1, 9, 11, 4, 12};

Definire all'interno del codice un vettore di interi di dimensione DIM, es. int array[] = {1, 5, 2, 4, 8, 1, 1, 9, 11, 4, 12}; ESERCIZI 2 LABORATORIO Problema 1 Definire all'interno del codice un vettore di interi di dimensione DIM, es. int array[] = {1, 5, 2, 4, 8, 1, 1, 9, 11, 4, 12}; Chiede all'utente un numero e, tramite ricerca

Dettagli

INDIZIONE E MODALITÀ TECNICHE DI SVOLGIMENTO DELLA LOTTERIA AD ESTRAZIONE ISTANTANEA CON PARTECIPAZIONE A DISTANZA DENOMINATA LA FORTUNA GIRA ON LINE

INDIZIONE E MODALITÀ TECNICHE DI SVOLGIMENTO DELLA LOTTERIA AD ESTRAZIONE ISTANTANEA CON PARTECIPAZIONE A DISTANZA DENOMINATA LA FORTUNA GIRA ON LINE Prot. 2007/ /Giochi Ltt IL DIRETTORE GENERALE INDIZIONE E MODALITÀ TECNICHE DI SVOLGIMENTO DELLA LOTTERIA AD ESTRAZIONE ISTANTANEA CON PARTECIPAZIONE A DISTANZA DENOMINATA LA FORTUNA GIRA ON LINE Visto

Dettagli

Raggruppamenti Conti Movimenti

Raggruppamenti Conti Movimenti ESERCITAZIONE PIANO DEI CONTI Vogliamo creare un programma che ci permetta di gestire, in un DB, il Piano dei conti di un azienda. Nel corso della gestione d esercizio, si potranno registrare gli articoli

Dettagli

Modulo 4 Il pannello amministrativo dell'hosting e il database per Wordpress

Modulo 4 Il pannello amministrativo dell'hosting e il database per Wordpress Copyright Andrea Giavara wppratico.com Modulo 4 Il pannello amministrativo dell'hosting e il database per Wordpress 1. Il pannello amministrativo 2. I dati importanti 3. Creare il database - Cpanel - Plesk

Dettagli

Gestione Turni. Introduzione

Gestione Turni. Introduzione Gestione Turni Introduzione La gestione dei turni di lavoro si rende necessaria quando, per garantire la continuità del servizio di una determinata struttura, è necessario che tutto il personale afferente

Dettagli

Mon Ami 3000 Provvigioni agenti Calcolo delle provvigioni per agente / sub-agente

Mon Ami 3000 Provvigioni agenti Calcolo delle provvigioni per agente / sub-agente Prerequisiti Mon Ami 3000 Provvigioni agenti Calcolo delle provvigioni per agente / sub-agente L opzione Provvigioni agenti è disponibile per le versioni Vendite, Azienda Light e Azienda Pro. Introduzione

Dettagli

Lezione 8. La macchina universale

Lezione 8. La macchina universale Lezione 8 Algoritmi La macchina universale Un elaboratore o computer è una macchina digitale, elettronica, automatica capace di effettuare trasformazioni o elaborazioni su i dati digitale= l informazione

Dettagli

Airone Gestione Rifiuti Funzioni di Esportazione e Importazione

Airone Gestione Rifiuti Funzioni di Esportazione e Importazione Airone Gestione Rifiuti Funzioni di Esportazione e Importazione Airone Funzioni di Esportazione Importazione 1 Indice AIRONE GESTIONE RIFIUTI... 1 FUNZIONI DI ESPORTAZIONE E IMPORTAZIONE... 1 INDICE...

Dettagli

Database 1 biblioteca universitaria. Testo del quesito

Database 1 biblioteca universitaria. Testo del quesito Database 1 biblioteca universitaria Testo del quesito Una biblioteca universitaria acquista testi didattici su indicazione dei professori e cura il prestito dei testi agli studenti. La biblioteca vuole

Dettagli

Determinare la grandezza della sottorete

Determinare la grandezza della sottorete Determinare la grandezza della sottorete Ogni rete IP possiede due indirizzi non assegnabili direttamente agli host l indirizzo della rete a cui appartiene e l'indirizzo di broadcast. Quando si creano

Dettagli

Esempio: dest = parolagigante, lettere = PROVA dest (dopo l'invocazione di tipo pari ) = pprrlogvgante

Esempio: dest = parolagigante, lettere = PROVA dest (dopo l'invocazione di tipo pari ) = pprrlogvgante Esercizio 0 Scambio lettere Scrivere la funzione void scambiolettere(char *dest, char *lettere, int p_o_d) che modifichi la stringa destinazione (dest), sostituendone i caratteri pari o dispari (a seconda

Dettagli

ZFIDELITY - ZSE Software & Engineering Pag.1 / 11

ZFIDELITY - ZSE Software & Engineering Pag.1 / 11 ZFIDELITY - ZSE Software & Engineering Pag.1 / 11 Indice Presentazione ZFidelity... 3 Menù Principale... 4 La Gestione delle Card... 5 I tasti funzione... 5 La configurazione... 6 Lettore Con Connessione

Dettagli

Sistema operativo. Sommario. Sistema operativo...1 Browser...1. Convenzioni adottate

Sistema operativo. Sommario. Sistema operativo...1 Browser...1. Convenzioni adottate MODULO BASE Quanto segue deve essere rispettato se si vuole che le immagini presentate nei vari moduli corrispondano, con buona probabilità, a quanto apparirà nello schermo del proprio computer nel momento

Dettagli

Sarà del tutto identico a come se giocassimo 4 schedine da 2 euro ciascuna così fatte, avremo quindi 4 combinazioni:

Sarà del tutto identico a come se giocassimo 4 schedine da 2 euro ciascuna così fatte, avremo quindi 4 combinazioni: SISTEMISTICA. Prefazione. Il mio consiglio è quello di giocare in base al budget di cui si dispone. Se in budget è limitato si può optare di giocare più persone insieme. Sia chiaro che bisogna sempre avere

Dettagli

ESEMPIO 1: eseguire il complemento a 10 di 765

ESEMPIO 1: eseguire il complemento a 10 di 765 COMPLEMENTO A 10 DI UN NUMERO DECIMALE Sia dato un numero N 10 in base 10 di n cifre. Il complemento a 10 di tale numero (N ) si ottiene sottraendo il numero stesso a 10 n. ESEMPIO 1: eseguire il complemento

Dettagli

GESTIONE INVENTARI E COLLOCAZIONI. A cura di Michela Fedi

GESTIONE INVENTARI E COLLOCAZIONI. A cura di Michela Fedi GESTIONE INVENTARI E COLLOCAZIONI A cura di Michela Fedi INVENTARIAZIONE/COLLOCAZIONE TITOLO SEMPLICE Ricerco e seleziono il titolo di mio interesse a partire dalla schermata di ricerca iniziale in CATALOGAZIONE

Dettagli

TRASMISSIONE RAPPORTO ARBITRALE IN FORMATO PDF

TRASMISSIONE RAPPORTO ARBITRALE IN FORMATO PDF TRASMISSIONE RAPPORTO ARBITRALE IN FORMATO PDF Come da disposizioni di inizio stagione, alcune Delegazioni provinciali hanno richiesto la trasmissione dei referti arbitrali solo tramite fax o tramite mail.

Dettagli

WoWords. Guida all uso: creare ed utilizzare le frasi. In questa guida è descritto come creare ed utilizzare le frasi nel software WoWords.

WoWords. Guida all uso: creare ed utilizzare le frasi. In questa guida è descritto come creare ed utilizzare le frasi nel software WoWords. In questa guida è descritto come creare ed utilizzare le frasi nel software WoWords. Premessa Oltre alle singole parole WoWords può gestire intere frasi in inglese. A differenza delle singole parole, le

Dettagli

Spiegazione Open Interest Storico:

Spiegazione Open Interest Storico: Spiegazione Open Interest Storico: Ogni volta che viene scaricata la chain delle opzioni, tutti i dati ottenuti vengono memorizzati anche nel database storico, che comprende quindi sia i valori degli Open

Dettagli

Mon Ami 3000 Varianti articolo Gestione di varianti articoli

Mon Ami 3000 Varianti articolo Gestione di varianti articoli Prerequisiti Mon Ami 3000 Varianti articolo Gestione di varianti articoli L opzione Varianti articolo è disponibile per le versioni Azienda Light e Azienda Pro e include tre funzionalità distinte: 1. Gestione

Dettagli

Dispensa di Informatica I.1

Dispensa di Informatica I.1 IL COMPUTER: CONCETTI GENERALI Il Computer (o elaboratore) è un insieme di dispositivi di diversa natura in grado di acquisire dall'esterno dati e algoritmi e produrre in uscita i risultati dell'elaborazione.

Dettagli

Dispense di Informatica per l ITG Valadier

Dispense di Informatica per l ITG Valadier La notazione binaria Dispense di Informatica per l ITG Valadier Le informazioni dentro il computer All interno di un calcolatore tutte le informazioni sono memorizzate sottoforma di lunghe sequenze di

Dettagli

Manuale Gestore. Utilizzo Programma. Magazzino

Manuale Gestore. Utilizzo Programma. Magazzino UNIVERSITA DEGLI STUDI DI FERRARA Manuale Gestore Utilizzo Programma Magazzino Cosa puoi fare? GESTO: Acquisti OnLine Tramite il modulo di Gesto che verrà illustrato in seguito, potrai gestire in tempo

Dettagli

PROGRAMMA GESTIONE TURNI MANUALE UTENTE. Programma Gestione Turni Manuale Utente versione 1.1

PROGRAMMA GESTIONE TURNI MANUALE UTENTE. Programma Gestione Turni Manuale Utente versione 1.1 PROGRAMMA GESTIONE TURNI MANUALE UTENTE INDICE 1 PREMESSA 3 2 COMANDI COMUNI 3 3 SEDI 3 4 FESTIVITÀ 4 5 PERIODI TURNI 4 6 COD. TURNI 6 7 TURNI SPORTIVI 9 8 COD. EQUIPAGGI 9 9 DISPONIBILITÀ 10 10 INDISPONIBILITÀ

Dettagli

COLLI. Gestione dei Colli di Spedizione. Release 5.20 Manuale Operativo

COLLI. Gestione dei Colli di Spedizione. Release 5.20 Manuale Operativo Release 5.20 Manuale Operativo COLLI Gestione dei Colli di Spedizione La funzione Gestione Colli consente di generare i colli di spedizione in cui imballare gli articoli presenti negli Ordini Clienti;

Dettagli

MANUALE EDICOLA 04.05

MANUALE EDICOLA 04.05 MANUALE EDICOLA 04.05 Questo è il video che si presenta avviando il programma di Gestione Edicola. Questo primo video è relativo alle operazioni di carico. CARICO Nello schermo di carico, in alto a sinistra

Dettagli

lo 2 2-1 - PERSONALIZZARE LA FINESTRA DI WORD 2000

lo 2 2-1 - PERSONALIZZARE LA FINESTRA DI WORD 2000 Capittol lo 2 Visualizzazione 2-1 - PERSONALIZZARE LA FINESTRA DI WORD 2000 Nel primo capitolo sono state analizzate le diverse componenti della finestra di Word 2000: barra del titolo, barra dei menu,

Dettagli

LA GESTIONE DELLE VISITE CLIENTI VIA WEB

LA GESTIONE DELLE VISITE CLIENTI VIA WEB LA GESTIONE DELLE VISITE CLIENTI VIA WEB L applicazione realizzata ha lo scopo di consentire agli agenti l inserimento via web dei dati relativi alle visite effettuate alla clientela. I requisiti informatici

Dettagli

SPRING SQ COMUNICAZIONE OPERAZIONI IVA NON INFERIORI A 3000 EURO PER L ANNO 2011

SPRING SQ COMUNICAZIONE OPERAZIONI IVA NON INFERIORI A 3000 EURO PER L ANNO 2011 Versione aggiornata il 02 Aprile 2012 SPRING SQ COMUNICAZIONE OPERAZIONI IVA NON INFERIORI A 3000 EURO PER L ANNO 2011 PREREQUISITI *** ACCERTARSI CON L ASSISTENZA DI AVERE INSTALLATO LE ULTIME IMPLEMENTAZIONE/CORREZIONI

Dettagli

SIMULAZIONE CIRCUITI LOGICI CON LOGISIM

SIMULAZIONE CIRCUITI LOGICI CON LOGISIM SIMULAZIONE CIRCUITI LOGICI CON LOGISIM Introduzione In questo articolo spiegherò come è possibile simulare i circuiti spiegati a lezione di architettura degli elaboratori (= Calcolatori Elettronici per

Dettagli

GENERAZIONE ARCHIVIO F24 AGENZIA ENTRATE

GENERAZIONE ARCHIVIO F24 AGENZIA ENTRATE GENERAZIONE ARCHIVIO F24 AGENZIA ENTRATE Il riferimento al manuale è il menù Redditi, capitolo Stampe, paragrafo Versamenti F24, sottoparagrafo Generazione Archivio F24 Agenzia Entrate. Questa funzione

Dettagli

Double Bonus Poker - Regole di Gioco

Double Bonus Poker - Regole di Gioco Double Bonus Poker - Regole di Gioco Come giocare Il gioco Double Bonus Poker utilizza un mazzo francese da 52 carte, e scopo del gioco è ottenere una combinazione pari o superiore alla coppia di Fanti

Dettagli

Convertitori numerici in Excel

Convertitori numerici in Excel ISTITUTO DI ISTRUZIONE SUPERIORE G. M. ANGIOY CARBONIA Convertitori numerici in Excel Prof. G. Ciaschetti Come attività di laboratorio, vogliamo realizzare dei convertitori numerici con Microsoft Excel

Dettagli

REGOLAMENTO ROULETTE FRANCESE

REGOLAMENTO ROULETTE FRANCESE REGOLAMENTO ROULETTE FRANCESE La Roulette Francese appartiene alla famiglia dei Giochi di sorte a quota fissa. Il gioco della Roulette Francese prevede una pallina che, lanciata in direzione opposta rispetto

Dettagli

Come costruire una presentazione. PowerPoint 1. ! PowerPoint permette la realizzazione di presentazioni video ipertestuali, animate e multimediali

Come costruire una presentazione. PowerPoint 1. ! PowerPoint permette la realizzazione di presentazioni video ipertestuali, animate e multimediali PowerPoint Come costruire una presentazione PowerPoint 1 Introduzione! PowerPoint è uno degli strumenti presenti nella suite Office di Microsoft! PowerPoint permette la realizzazione di presentazioni video

Dettagli

OSSIF WEB. Manuale query builder

OSSIF WEB. Manuale query builder OSSIF WEB Manuale query builder - Maggio 2010 1) Sommario 1) SOMMARIO... 2 INTRODUZIONE... 3 Scopo del documento... 3 Struttura del documento... 3 Descrizione dell interfaccia grafica... 3 SELEZIONE DI

Dettagli

REGOLAMENTO LIVE ROULETTE

REGOLAMENTO LIVE ROULETTE REGOLAMENTO LIVE ROULETTE La Live Roulette appartiene alla famiglia dei Giochi di sorte a quota fissa svolto con live dealer. Il gioco della Live Roulette prevede una pallina che, lanciata in direzione

Dettagli

[MANUALE VISUAL BASIC SCUOLA24ORE PROF.SSA PATRIZIA TARANTINO] 14 dicembre 2008

[MANUALE VISUAL BASIC SCUOLA24ORE PROF.SSA PATRIZIA TARANTINO] 14 dicembre 2008 Se devo memorizzare più valori che sono in qualche modo parenti dal punto di vista logico, posso usare il concetto di vettore di variabili (array). Ad esempio, se devo memorizzare le temperature di tutti

Dettagli

REGOLAMENTO KONG THE 8 TH WONDER OF THE WORLD

REGOLAMENTO KONG THE 8 TH WONDER OF THE WORLD REGOLAMENTO KONG THE 8 TH WONDER OF THE WORLD Slot machine a 5 rulli e 20 linee Lo scopo del gioco Kong The 8 th Wonder of the World è ottenere una combinazione vincente di simboli dopo la rotazione dei

Dettagli

Regole di gioco Roulette Mobile

Regole di gioco Roulette Mobile Regole di gioco Roulette Mobile European Classic Roulette European Premium Roulette European VIP Roulette Regole di gioco European Classic Roulette Il gioco si svolge esclusivamente nella modalità a solitario,

Dettagli

Manuale Terminal Manager 2.0

Manuale Terminal Manager 2.0 Manuale Terminal Manager 2.0 CREAZIONE / MODIFICA / CANCELLAZIONE TERMINALI Tramite il pulsante NUOVO possiamo aggiungere un terminale alla lista del nostro impianto. Comparirà una finestra che permette

Dettagli

Moduli (schede compilabili) in Word Esempio: scheda di alimentazione per un degente

Moduli (schede compilabili) in Word Esempio: scheda di alimentazione per un degente Moduli (schede compilabili) in Word Esempio: scheda di alimentazione per un degente Vediamo come utilizzare Word per costruire un modulo compilabile, ovvero una scheda che contenga delle parti fisse di

Dettagli

Hitman è una slot machine a cinque rulli, quindici payline e 300 monete con:

Hitman è una slot machine a cinque rulli, quindici payline e 300 monete con: Hitman Guida / Regole Informazioni su Hitman Hitman è una slot machine a cinque rulli, quindici payline e 300 monete con: Un simbolo jolly. Un simbolo scatter. Una partita bonus Insignia. Una partita bonus

Dettagli

NAS 251 Introduzione al RAID

NAS 251 Introduzione al RAID NAS 251 Introduzione al RAID Impostare un volume d archiviazione col RAID A S U S T O R C O L L E G E OBIETTIVI DEL CORSO Al termine di questo corso si dovrebbe essere in grado di: 1. Avere una onoscenza

Dettagli

IL DIRETTORE GENERALE

IL DIRETTORE GENERALE Prot. 2010/ 43745 /Giochi Ltt INDIZIONE E MODALITÀ TECNICHE DI SVOLGIMENTO DELLA LOTTERIA AD ESTRAZIONE ISTANTANEA CON PARTECIPAZIONE A DISTANZA DENOMINATA RISULTATO FINALE ON LINE IL DIRETTORE GENERALE

Dettagli

Joker Poker - Regole di Gioco

Joker Poker - Regole di Gioco Joker Poker - Regole di Gioco Come giocare Il gioco Joker Poker utilizza un mazzo da 53 carte composto da 52 carte francesi più una carta Joker(Jolly) che quindi può assumere il valore di ogni altra carta.

Dettagli

Introduzione a Visual Basic Lezione 1 Concetti base e istruzioni condizionali

Introduzione a Visual Basic Lezione 1 Concetti base e istruzioni condizionali a Visual Basic Lezione 1 Concetti base e istruzioni condizionali Mario Alviano Introduzione all informatica Università della Calabria http://alviano.net/introinfo A.A. 2008/09 Introduzione Un esempio:

Dettagli