Memorie 8.1 CLASSIFICAZIONE DELLE MEMORIE

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Memorie 8.1 CLASSIFICAZIONE DELLE MEMORIE"

Transcript

1 Memorie 8 Memorie In questo capitolo verranno illustrate brevemente le varie tipologie di memorie a semiconduttore esistenti (RAM, ROM, volatili, non volatili) Si inizierà a trattare in dettaglio le memorie Ram statiche e dinamiche; si illustreranno i vari cicli di lettura e scrittura ed alcuni data-sheets di prodotti commerciali Poi si passerà a descrivere le memorie non volatili, categoria a cui appartengono le ROM, EPROM, E 2 PROM e le FLASH Si descriverà la cella base programmabile e cancellabile elettricamente 81 CLASSIFICAZIONE DELLE MEMORIE 811 MEMORIE AD ACCESSO CASUALE E MEMORIE SEQUENZIALI Una qualunque elettronica a microprocessore richiede memoria per immagazzinare sia i dati che le istruzioni dei programmi Esistono vari tipi di memorie, che utilizzano differenti tecnologie costruttive e sono caratterizzate da tempi di accesso molto diversi tra loro (tipicamente di qualche ordine di grandezza) In generale, le memorie per computer sono suddivise in due categorie: la memoria principale e la memoria di massa La prima è solitamente quella accessibile in maniera più rapida e dalla quale il sistema acquisisce le istruzioni di programma Normalmente, essa opera in modalità definita ad accesso

2 Fondamenti di Elettronica casuale, che in seguito verrà indicata col termine originale inglese RAM-Random Access Memory Una memoria RAM è infatti caratterizzata da questa proprietà: il tempo necessario per immagazzinare (scrivere) l informazione desiderata e per recuperarla (leggerla) non dipende dalla posizione fisica della locazione all interno della matrice Le memorie ad accesso casuale vengono a confrontarsi con le memorie di massa sequenziali (dette anche seriali), come i dischi ed i nastri magnetici, dove le informazioni sono disponibili esclusivamente nello stesso ordine in cui furono inserite in origine Per questo motivo, nelle memorie seriali il tempo necessario per accedere a un particolare dato dipende dalla sua locazione in memoria, e esso è generalmente più lungo di quello ottenibile con una RAM In un comune computer le memorie sequenziali sono utilizzate esclusivamente come memorie di massa 812 MEMORIE DI LETTURA/SCRITTURA E DI SOLA LETTURA Un ulteriore importante classificazione delle memorie consiste nella distinzione tra memorie di lettura/scrittura (read/write-r/w memory) e di sola lettura (read only memory, ROM) Le prime permettono di immagazzinare e leggere dati a velocità confrontabili tra loro, le seconde o non sono riscrivibili del tutto (OTP, One-Time Programmable) oppure possono esserlo (EPROM, EEPROM e FLASH) mediante tecniche particolari descritte in questo capitolo In compenso, queste ultime sono generalmente più veloci delle R/W, e vengono impiegate, ad esempio, per immettervi il sistema operativo di un microprocessore, come avviene nel caso del Bios di una scheda madre per PC 813 MEMORIE VOLATILI E NON VOLATILI L ultima, ma non meno importante, distinzione riguarda le memorie volatili e non Una memoria si dice volatile se, in assenza di alimentazione esterna (o di batterie), perde l informazione in essa contenuta Tipicamente tutte le RAM sono volatili (a meno di avere una batteria tampone) Una memoria si dice invece non volatile se conserva sempre l informazione in essa contenuta, anche in assenza di alimentazione 814 MEMORIE RAM STATICHE E RAM DINAMICHE Le memorie RAM statiche sono costituite da celle elementari piuttosto voluminose: ciascun bit è memorizzato mediante 4 o 6 transistori MOS (come si vedrà in Fig 83) che, realizzando un flip-flop, mantengono il dato binario fino a quando non viene tolta l alimentazione Invece, le memorie RAM dinamiche consentono una maggior densità d integrazione su chip perchè ciascun bit è memorizzato in una cella molto semplice, composta da un solo transistore ed un condensatore (come si vedrà in Fig 810): purtroppo il dato binario rischia di perdersi a causa della scarica del condensatore Per questo motivo, una memoria dinamica, in genere, necessita di un aggiornamento (refresh) periodico dei dati memorizzati, allo scopo di leggere la tensione ai capi del condensatore per poi ricaricare la carica accumulata in esso Tale refresh si realizza mediante scansione periodica di tutti gli indirizzi di riga della matrice, almeno una volta ogni millisecondo Nell industria microelettronica mondiale, i chip di memoria rappresentano lo stato dell arte dei livelli raggiunti nell impacchettamento (packing) e nella riduzione generale d ingombro su chip, entrambi ottenibili con le più sofisticate tecnologie a disposizione È proprio su questi punti (in aggiunta a problematiche di affidabilità nel tempo e di bassi consumi energetici) che si basa la sfida tra i maggiori Produttori di memorie del mondo Basti pensare che, mentre nel 1970 un chip conteneva 1kbit di informazione, nel 2001 sono già in commercio chip da 256Mbit e tra breve sarà possibile arrivare al Gbit

3 Memorie Fig 81: Struttura schematica di una memoria ROM 815 ARCHITETTURA DI UNA MEMORIA Per quanto concerne l organizzazione generale di una memoria, si riporta in Fig 81 una semplice architettura di una ROM prototipo (in cui è presente un solo decoder) Gli N bit in ingresso rappresentano i bit di indirizzamento (address), ossia quelli necessari per selezionare una determinata riga nella matrice tra le 2 N disponibili Invece M rappresenta il numero di bit in uscita, ossia i dati veri e propri che verranno letti dal dispositivo che segue; il loro valore dipende dallo stato in cui si trova la cella di memoria elementare in quell istante, nonché dal tipo di logica presente a valle Ad esempio se N=8 e M=4 allora si ha una memoria da 256 4bit; M=4 è detto nibble, M=8 byte mentre M=16 word La cella di memoria elementare è l elemento caratterizzante di una tipologia particolare di memorie ed è grazie alla sua struttura che si ottengono prestazioni diverse in termini di tempo d accesso, necessità di un refresh periodico, dissipazione di potenza statica e dinamica, capacità di integrazione Per una memoria ROM, i punti d incrocio tra una riga e una colonna rappresentano delle connessioni da programmare Queste possono essere dei semplici collegamenti che l utente può o meno bruciare (fusable link) a seconda se vuole programmare uno 0 o un 1 nel bit corrispondente 816 TEMPORIZZAZIONI Quando si parla di memorie, sono molto importanti i parametri di timing (le temporizzazioni), nonchè la massima frequenza di clock che consente al dispositivo di funzionare correttamente È quindi opportuno chiarire fin da subito il significato dei simboli usati nei diagrammi di timing, ricorrendo alla Fig 82 Solitamente gli Address sono indicati con A, mentre i dati (che possono essere sia uscite che ingressi, a seconda che ci si trovi in lettura o in scrittura) vengono indicati con la lettera Q La notazione V identifica un valore valido mentre un livello che sta cambiando o può cambiare in genere è considerato non conosciuto ed è indicato con X Un comando attivo basso è indicato con una barra, ad esempio /CE o CE Un ingresso che ha una doppia funzione è fornito di una barra diagonale che separa le due scelte, ad esempio RD / WR per read (se alto) o write (se basso)

4 Fondamenti di Elettronica Fig 82: Diagrammi di timing: significato dei simboli grafici 82 MEMORIE RAM STATICHE L elemento base delle memorie SRAM è un bistabile (flip-flop) Lo scopo è quello di memorizzare un livello logico 1 oppure 0 in modo permanente, ossia fintantochè il dispositivo rimane alimentato e senza il problema di vedere svanire il dato A seconda della tecnologia disponibile (NMOS, CMOS, bipolare) la topologia della cella può cambiare leggermente In Fig 83, a sinistra, è rappresentata la tipica cella CMOS Si ha un bistabile composto da quattro transistori, ai quali si accede (per scrivere o leggere) tramite altri due transistori, T1 e T2, che connettono la cella alla bit-line D (verticale) quando la word-line W (orizzontale) viene abilitata dal decoder di riga e portata al potenziale V DD L ingombro è piuttosto elevato, come mostrato alla destra di Fig 83: nel caso di una cella di 10µm 10µm, un chip di silicio di 5mm 6mm conterrebbe solamente circa 256kbit (pari a 32kbyte) Fig 83: Singola cella di memoria RAM statica CMOS (sinistra) esuo layout su silicio (destra); l ingombro verticale è inferiore ai 10µm

5 821 CICLO DI LETTURA Memorie Per lettura di una memoria si intende l operazione che consiste nell acquisire l informazione in essa contenuta e localizzata in un certo indirizzo È estremamente importante che il valore applicato al bus di indirizzo A sia stabile per un certo tempo, indicato con t AVQV in Fig 84, durante il quale il dato in uscita Q si trova in uno stato sconosciuto Una volta trascorso tale periodo di tempo, il dato in uscita sarà ritenuto valido Non appena l indirizzo cambierà (perché ad esempio si vorrà leggere un altra cella), il dato, suo malgrado, rimarrà disponibile in uscita ancora per un periodo pari a t AXQX Per il significato dei tempi di lettura dei cicli 1 e 2 si veda la Fig 86 Il ciclo di lettura può essere effettuato in free-running, ossia ammettendo che i dati in uscita possano essere non corretti in certi istanti ma, comunque, sempre presenti Ciò avviene tipicamente quando la RAM è usata in un sistema real-time di acquisizione o riproduzione di un segnale campionato Un altro modo di procedere alla lettura della memoria consiste nel porre l uscita in three-state (alta impedenza) fintantochè non viene abilitato il comando di output enable, attivo basso in Fig 85 Il bus dati della RAM esce così dallo stato three-state solo durante il ciclo di lettura Si ricorre a questa modalità di funzionamento quando la RAM condivide il bus dati con altre memorie (di dati e/o programma e/o look-up tables) o periferiche come porte seriali, parallele, DMA, convertitori ADC, etc Inevitabilmente, in questa modalità, i tempi di lettura si allungano Le condizioni operative vengono spesso specificate nei data sheet (Fig 86) insieme a tutte le specifiche dinamiche Si osservi che il ciclo di lettura (t AVAV ) è più lungo del tempo di accesso (t AVQV ) per permettere alla circuiteria interna di prepararsi al ciclo successivo Pertanto la f max =(1/t AVAV ) è inferiore a quella teorica, pari a 1/t AVQV Fig 84: Ciclo di lettura in free-running Fig 85: Ciclo di lettura pilotato dal comando output-enable

6 Fondamenti di Elettronica Fig 86: Tempi di lettura caratteristici di una memoria 822 CICLO DI SCRITTURA Con scrittura si intende il processo di immagazzinamento del bit di informazione nella cella elementare di memoria; nel corso di questa operazione, l uscita viene posta per convenienza ad alta impedenza Come mostrato in Fig 87, l accesso al dato richiesto può essere controllato mediante l abilitazione del segnale di enable (attivo basso), pur di avere posto in precedenza il controllo di write a livello attivo (basso) Fig 87: Ciclo di scrittura pilotato dal comando chip-enable

7 Memorie Fig 88: Ciclo di scrittura pilotato dal comando write-enable In alternativa, è possibile comandare l operazione tramite il segnale di controllo Read/Write (Fig 88); il comando chip enable si limita a consentire che l operazione avvenga Si noti che, nonostante siano indicate per comodità due tracce per il DATA IN ed il DATA OUT, in realtà nel circuito integrato esiste un solo bus dati bidirezionale Per il significato dei tempi caratteristici di scrittura relativi ai cicli 1 e 2 si veda il datasheet di Fig 89 fornisce i valori (minimo, massimo o tipico, a seconda) dei tempi caratteristici dei cicli di scrittura Fig 89: Tempi di scrittura caratteristici di una memoria

8 Fondamenti di Elettronica Fig 810: Cella elementare di una DRAM (sinistra); C M è la capacità di memoria, mentre C L è quella parassita Sezione trasversale dela cella (destra); le dimensioni totali sono inferiori ad 1µm 83 MEMORIE RAM DINAMICHE La cella base della RAM dinamica è più semplice di quella caratteristica della memoria statica in quanto consiste in un solo condensatore di memoria C M e di un MOS di accesso (Fig 810) Il risparmio in termini di area occupata è notevole, come dimostra anche la sezione trasversale riportate nella medesima figura; ad esempio passando dalle dimensioni 10µm 10µm di una cella statica alle 1µm 1µm della dinamica si riescono ad ottenere delle memorie con densità 100 volte superiore (un chip da 5mm 6mm conterrà circa 32Mbit) Proprio a causa delle dimensioni elevate della matrice di memoria ottenibile, per ridurre il numero di piedini è necessario multiplexare gli indirizzi di riga e quelli di colonna sugli stessi pin che giungono dall esterno del circuito integrato I comandi per multiplexare gli address di riga e di colonna sono chiamati /RAS (Row Address Strobe) e /CAS (Column Address Strobe), entrambi attivi bassi RAS BIT lines addressed memory cell Address CAS Fig 811: Latch Latch Column decoder Row decoder Struttura generale di una DRAM Sense amplifiers WORD lines Output buffers Din Dout R/W CE

9 Memorie Si osservi come in Fig 811 per ogni bit-line (verticale) vi sia un sense-amplifier, ossia un dispositivo che legge il contenuto della cella (la carica del corrispondente condensatore) per fornire in uscita un segnale digitale di tipo full-swing (tipicamente da 0V a V dd ) o scrive il contenuto della cella, a seconda del comando applicato sul piedino R/W Il bit in ingresso è applicato sul pin Din, mentre il bit in uscita è disponibile sul pin Dout Nel caso di memoria organizzata a byte, la matrice di memoria è semplicemente ottuplicata, lasciando inalterate le Word lines, mentre moltiplicando per otto le Bit line, i Sense Amplifier e gli Output Buffer Il problema principale delle celle di memoria DRAM si presenta in fase di lettura Infatti la carica immagazzinata in C M deve essere travasata sulla bit-line, che ha una capacità C L assai più grande (tipicamente si hanno valori intorno ai 20 ff per C M mentre per C L si arriva anche al pf) Pertanto la variazione di tensione che si registra sulla bit-line è estremamente piccola: ad esempio, dall uguaglianza del travaso di carica (C L V L =C M V M ) si ottiene V L =(C M V M )/C L =2% V M Con V M di circa 5V, si ha quindi un valore di V L pari soltanto a un centinaio di mv; per questo motivo sono necessari sense-amplifiers di colonna estremamente sensibili Nel panorama delle DRAM esistono oggigiorno diversificazioni che è il caso di citare, soprattutto per fornire un idea della vasta gamma di prodotti a disposizione del progettista Queste sottoclassi delle DRAM sono le: Fast Page Mode; Extended Data Out (EDO DRAM); Synchronous Dynamic Ram (SDRAM); Prima di addentrarci nei principi di funzionamento di queste tre sottoclassi, vediamo innanzitutto come funziona la DRAM classica 831 DRAM CLASSICA Essa possiede i comandi di /RAS e /CAS per il multiplexing degli indirizzi di riga e colonna, ed è dotata delle abilitazioni di write-enable (/WE), e output-enable (/OE), come la SRAM Un tipico diagramma di timing per la lettura è presentato in Fig 812 Fig 812: Ciclo di lettura di una memoria DRAM classica

10 Fondamenti di Elettronica L indirizzo di riga deve essere stabile sul bus per almeno un tempo pari a t ASR prima di attivare il RAS, per una durata t RAH, pena la mancata memorizzazione dell indirizzo di riga all interno della DRAM Il comando /RAS rimarrà attivo per tutto il tempo t RAS Dopo un tempo t ASC dalla commutazione del RAS, è possibile inviare il nuovo indirizzo, quello di colonna, che deve essere mantenuto stabile per t CAH dopo che il segnale /CAS è diventato attivo Ovviamente il /WE deve essere posto alto (disattivo perché siamo in lettura) almeno prima della commutazione del CAS e deve rimanere in questo stato per un tempo t RCH oltre l innalzamento del /CAS stesso La Fig 813 illustra il significato delle varie abbreviazioni utilizzate Il segnale /OE è posto basso in modo tale che la DRAM possa mettere i dati sull uscita dopo un lasso di tempo che dipende dall istante in cui è stato fornito l indirizzo di colonna (t AA ) e sono stati attivati i comandi RAS (t RAC ), CAS (t CAC ) ed OE (t OEA ) Il ciclo di lettura viene completato quando /RAS e /CAS ritornano nel loro stato inattivo (t CRP, t RP ) Ciò che caratterizza le prestazioni di una DRAM sono pertanto i tempi t RAC (necessario alla lettura di una data cella; sui data-sheet è riportato il valore massimo, cioè il più sfortunato), t CAC (tempo richiesto per avere i dati in uscita, riferito alla commutazione del CAS) e soprattutto il t RC, tempo minimo necessario per compiere l intero ciclo di accesso casuale alla memoria Quest ultimo è dato dalla somma di tre termini, ossia: t RC = t RAS + t RP (tempo di precarica) + tempi di commutazione Per migliorare le prestazioni del sistema, è stato necessario implementare cicli di accesso alla memoria che realizzassero più letture o scritture consecutive del dispositivo Ciò è stato reso possibile prima dalle memorie Fast Page Mode, poi dalle EDO ed ora dalle SDRAM Questi dispositivi hanno la particolarità di poter accedere a più celle di memoria in una pagina senza dover iniziare un nuovo ciclo Una pagina è definita come quel gruppo di celle di memoria che hanno in comune l indirizzo di riga Fig 813: Significato delle abbreviazioni delle durate temporali dei cicli di lettura e scrittura

11 Memorie Fig 814: Ciclo di lettura relativo a una Fast Page Mode DRAM Fig 815: Tempi caratteristici di una memoria Fast Page 832 DRAM FAST PAGE MODE Nella seguente Fig 814 è mostrato il ciclo di lettura relativo ad una Fast Page Mode DRAM, seguita dalla tabella (Fig 815) esplicativa dei tempi caratteristici Il tempo t PC rappresenta il tempo necessario per leggere (o scrivere) in una locazione di memoria e per ripristinare le tensioni corrette nella memoria, per avviare una nuova lettura (o scrittura) Il ciclo di lettura di una Fast Page inizia con il fronte di commutazione del /CAS e termina alla fine del minimo tempo di precarica (precharge, t CP ) Naturalmente il /CAS deve rimanere attivo per un tempo t CAS sufficiente per consentire un accesso corretto ai dati; una volta trascorso un tempo t CAC, i dati saranno presenti in uscita Si noti che il primo ciclo impiega lo stesso tempo di un ciclo standard, ma ha il vantaggio di indirizzare tutte le celle di memoria all interno della pagina (con stesso indirizzo di riga), le cui colonne verranno scandite dai successivi accessi mediante il /CAS La durata massima di una serie di letture in una pagina (t RAS di Fig 814) è limitata dalla necessità di effettuare il refresh periodico 833 EDO-EXTENDED DATA OUT DRAM Le Extended Data Out DRAM sono state implementate per ridurre ulteriormente il tempo richiesto per effettuare un accesso alla matrice di memoria Esse sono state progettate in modo tale da mantenere attivi i driver di uscita anche quando il segnale /CAS ritorna al livello logico alto, necessario per garantire il tempo di recovery t CP La conseguenza immediata di questo fatto è che ora il t CAS può essere inferiore al tempo t CAC, e ciò concorre a ridurre il ciclo minimo di accesso La Fig 816 illustra quanto detto

12 Fondamenti di Elettronica Fig 816: Ciclo di lettura per le memorie EDO DRAM 84 SDRAM- SYNCHRONOUS DYNAMIC RAM Tutte le memorie considerate finora sono memorie asincrone, ossia le varie transizioni dei comandi non avvengono simultaneamente, bensì sono legate alle transizioni applicate ai segnali di controllo, a meno dei ritardi temporali intrinseci Ciò non è più vero nelle memorie sincrone: in esse, l accesso avviene modo diverso rispetto a quelli finora analizzati, con il risultato di rendere il tempo di accesso ancora più breve Questa nuova modalità assume il nome di burst ed effettua l incremento automatico dell indirizzo di colonna che, quindi, non deve essere più fornito dall esterno In realtà cambia anche l architettura interna della memoria che, essendo ora sincrona, obbliga a far transitare verso il dispositivo gli indirizzi, i dati ed anche i comandi nel medesimo istante Il funzionamento della SDRAM è gestito da una macchina sequenziale interna, che elabora i segnali in ingresso come vere e proprie istruzioni e non semplici pin di controllo I parametri più significativi sono la frequenza di funzionamento, che solitamente varia da 66MHz a 150MHz, ed il tempo di latenza, indicato in Fig 817 Lo schema a blocchi dell architettura interna di una SDRAM da 16 Mbit della Toshiba è riportata in Fig 818 La memoria è composta da due (per la 16 Mbit) o più (4 per la 64Mbit) banchi, ciascuno dei quali viene indirizzato da una o più linee dell address bus, dette appunto linee di Bank Select (BS) La presenza di due o più banchi consente l esecuzione pipeline delle operazioni, permettendo ad un banco di essere nello stato di precarica mentre l altro effettua l accesso per operazioni di lettura o scrittura Questo processo è Fig 817: Tempi di latenza in lettura per una SDRAM

13 Memorie Fig 818: Struttura di una SDRAM da 16Mbit Toshiba noto con il nome di interleaving e consente di eliminare il tempo di attesa per una precarica (precharge latency) Sono presenti anche un Refresh Counter ed un Column Counter, poiché la modalità burst richiede l incremento automatico degli indirizzi di colonna Il Mode Register (Fig 819) è un registro a 12bit che seleziona la lunghezza del burst, nonché il tipo di accesso alla memoria (sequenziale o interleaved) ed il numero di cicli di clock che devono trascorrere prima che il dato sia disponibile sul bus La piedinatura della SDRAM in esame è riportata in Fig 820 Per consentire alle SDRAM di funzionare, è necessario fornire loro dei comandi mediante tali piedini Tuttavia, a differenza di tutte le RAM asincrone viste in precedenza, questi comandi non sono i soliti fronti di salita e di discesa di un singolo segnale per volta, ma un insieme di segnali predefiniti Fig 819: Organizzazione interna del Mode Register

14 Fondamenti di Elettronica Fig 820: Piedinatura della SDRAM Toshiba da 16Mbit Ad esempio la Fig 821, a sinistra, mostra come impostare i segnali di comando per effettuare il comando mode register set al prossimo rising-edge del segnale di clock (CLK) Questo comando viene eseguito se si attivano i segnali /CS, /RAS, /CAS e /WE in corrispondenza di un impulso di clock In questo modo i dati presenti sull address bus (A0A11) vengono memorizzati nel Mode Register e determineranno il comportamento futuro del dispositivo Il comando di Activate (Fig 821, in centro) consente invece di inviare alla memoria l indirizzo di riga (sul bus A0 ed A10) del banco selezionato (tramite A11, Bank select) Notare infatti che /RAS è basso durante tale operazione Il comando di Precharge (Fig 821, a destra) inizia la precarica del banco selezionato da A11 Se l indirizzo A10 (precharge select) è a livello alto, la precarica viene attivata su tutti i banchi presenti internamente al dispositivo I comandi read e write sono incaricati di inviare alla memoria, oltre al comando stesso, anche l indirizzo di colonna, secondo quanto mostra la Fig 822 Nel comando di read, il dato è disponibile solo dopo un certo tempo, chiamato CAS latency Non si dimentichi che queste memorie sono dinamiche e, quindi, hanno bisogno di refresh Il comando di Auto Refresh (Fig 823 a sinistra) avviene attivando i segnali /CS, /RAS, /CAS, CKE e rendendo disattivo /WE In questa condizione, la generazione degli indirizzi per il refresh viene attuata internamente, e per un periodo di almeno 100µs il dispositivo non accetta alcun comando È necessario che l hardware pilotante la SDRAM Fig 821: Comandi di mode register set (sinistra), activate (centro) e precharge (destra)

15 Memorie Fig 822: Comando di read (a sinistra) e di write (a destra) esegua dei cicli di attesa per non inviare in questo intervallo di tempo dei comandi che sarebbero ignorati Il segnale CKE è utilizzato come abilitazione del clock Se esso è attivo, il prossimo fronte di salita del clock è valido, altrimenti il dispositivo sospende qualsiasi operazione in corso e, nel caso in cui non vi fossero operazioni precedenti, entra in uno stato di power down, durante il quale si mantiene in self-refresh (Fig 823 a destra) Il comando burst stop (Fig 824 a sinistra) interrompe l operazione di burst in corso Infine il comando di no operation (Fig 824 a destra) permette di non eseguire alcuna operazione sulla memoria Quest ultima rimane in uno stato idle determinato dalla disattivazione di ogni ingresso con /RAS, /CAS, /WE alti mentre /CS è basso Fig 823: Comandi di auto refresh (sinistra) e di self refresh (destra) Fig 824: Comando di burst stop (sinistra) e di no operation (destra)

16 Fondamenti di Elettronica Fig 825: Ciclo di power-on 841 CICLI DELLE SDRAM Avendo visto i singoli comandi da dare alla SDRAM, è ora possibile analizzare alcuni cicli necessari al funzionamento della memoria Il ciclo di power-on (Fig 825) è il più importante Dopo 200µs di pausa, è necessario inviare un comando di precharge all banks, seguito da otto cicli di autorefresh Successivamente deve essere inviato il comando di Mode Register Set che inizializza il dispositivo Per i veri e propri cicli operativi di accesso alla memoria è utile osservare il diagramma di stato mostrato in Fig 826, che illustra le relazioni esistenti tra i diversi comandi; se ne può dedurre come all interno della SDRAM sia integrata una vera e propria rete sequenziale sincrona che gestisce le operazioni della memoria Fig 826: Tipico diagramma degli stati di una SDRAM

17 Memorie Fig 827: Ciclo di single write Fig 828: Ciclo di single read Si può osservare che esistono due modi per eseguire i comandi di read e write, ovvero con o senza auto-precharge (rispettivamente READA-READ e WRITEA-WRITE) Il vantaggio di avere a disposizione operazioni che includano autoprecharge consiste nel poter inviare un solo comando ed eseguire sia l operazione di precharge che la lettura (scrittura) vera e propria In realtà questi due tipi di comando consentono di organizzare due tipi di accesso alla memoria, chiamati single e burst Vediamo come sono strutturati i cicli di single write e single read Il ciclo single write inizia con il comando activate La memoria necessita di un ritardo t RCD dal comando di activate al successivo comando di write Il motivo per cui si usa un comando di write invece di un writea è dovuto all esigenza di dover terminare il ciclo dopo un solo accesso Non è possibile inviare un successivo comando di activate prima del tempo t RP, come mostrato in Fig 827 In modo analogo funziona il ciclo di single read (Fig 828) Anche i cicli in modalità burst cominciano con il comando activate, che consente di inviare alla memoria l indirizzo di riga Il ciclo burst write si effettua tramite il comando writea, che deve essere inviato alla memoria dopo il tempo t RCD Il primo dato viene scritto in memoria nel medesimo istante in cui avviene l invio del comando, come mostra la Fig 829 È possibile inviare un successivo comando di activate solo dopo un tempo t RP Il ciclo burst read (Fig 830) si svolge in modo analogo In questo caso si osservi che il comando di read non esegue immediatamente l operazione di lettura del primo stream di dati, ma vi è un tempo di latenza, indicato con t CAS, che dipende dal tipo di memoria Infine, si ha il ciclo di auto refresh: dopo l invio di questo comando, intercorre un tempo t RC, dipendente dal tipo di memoria utilizzata, durante il quale non è possibile inviare ulteriori comandi

18 Fondamenti di Elettronica Fig 829: Ciclo di burst write Fig 830: Ciclo di burst read 842 INTERFACCIA TRA µp E SDRAM Dopo avere finalmente compreso il principio di funzionamento di una memoria SDRAM, non è difficile intuire che l interfaccia tra µp e tale dispositivo possa presentare notevoli difficoltà dal punto di vista realizzativo Sarà pertanto necessario utilizzare dei µp che abbiano al loro interno una gestione sincrona della memoria, oppure (circostanza più frequente) si dovrà programmare opportunamente il µp, in modo che esso possa gestire correttamente e completamente la SDRAM Ciò è possibile solo con µp che abbiano al loro interno delle periferiche programmabili In Fig 831 è riportata, a titolo di esempio, l interfaccia schematica tra una memoria SDRAM e il microprocessore PowerPC MPC860 Quest ultimo possiede una GPCM (General Purpose Chip Select Machine) che può essere utilizzata come controller dedicato Fig 831: Interfaccia tra microprocessore MPC860 e memorie SDRAM

19 85 RAM DEDICATE AD APPLICAZIONI PARTICOLARI Memorie Esiste in commercio una classe molto vasta di memorie riscrivibili e volatili (RAM), la cui struttura è progettata però allo scopo di svolgere funzioni particolari Le principali componenti di tale classe sono le: First In-First Out buffer (FIFO); Dual Port access memory (DP-RAM); Video Ram (VRAM) In questa sezione focalizzeremo la nostra attenzione esclusivamente su queste ultime L architettura di una Video Ram (Fig 832) è tale da gestire una matrice di memoria che deve essere in grado di pilotare, mediante opportuna circuiteria analogica esterna, il monitor di un PC o di un qualunque televisore (per applicazioni televideo o similari) La scrittura in memoria video deve potere avvenire in posizione casuale (da qui la scelta di utilizzare dispositivi RAM) ma deve anche garantire la lettura e scrittura veloce in modo seriale (sequenziale) Questo ultimo requisito è necessario per pilotare un monitor o per leggere uno stream di dati in modalità SAM (Serial Access Memory) Fig 832: Architettura di una video RAM

20 Fondamenti di Elettronica L accesso alla Video RAM è identico a quello di una normale DRAM Non per nulla, questa memoria può essere utilizzata come una normale Ram da parte del µp, che vi scriverà e potrà leggerne i dati contenuti Tuttavia, l applicazione specifica è pensata espressamente per il pilotaggio di monitor video o di LCD grafici: ad ogni bit all interno della memoria corrisponderà pertanto un pixel sullo schermo (in caso di immagine monocromatica) Inoltre è anche possibile attribuire più di un bit, tipicamente tre, ad ogni pixel dello schermo (in modalità colore) La SAM viene adoperata per pilotare ad alta velocità il tubo a raggi catodici (CRT) o lo schermo a cristalli liquidi (LCD) Essa andrà caricata con i dati contenuti nella Ram, quindi si renderà necessario effettuare un trasferimento di blocchi da RAM a SAM e sarà possibile compiere l operazione inversa La Fig 832 ha lo scopo di fornire un idea della complessità architettonica di tali memorie 86 MEMORIE EPROM Tra le memorie non volatili è possibile effettuare una distinzione tra quelle non cancellabili (ROM, PROM, OTP) e quelle riscrivibili dall utente finale (EEPROM e FLASH) Con riferimento a questa seconda categoria, è opportuno sottolineare il fatto che, mentre nelle EEPROM è possibile scrivere e cancellare singoli byte all interno della memoria (senza alterare gli altri), nelle FLASH la cancellazione viene effettuata solo su interi banchi e non su singoli byte Le prime memorie non volatili caratterizzate da una certa diffusione sul mercato furono le EPROM (Electrically Programmable Read Only Memory) La cancellazione avveniva esclusivamente mediante irraggiamento a luce UV, dopo che la memoria era stata completamente rimossa dalla scheda su cui era montata Successivamente si passò alle EAPROM (Electrically Alterable PROM, che potevano essere riprogrammate ma solamente convertendo gli 1 in 0 e non viceversa) ed infine alle EEPROM (Electrically Erasable PROM) Queste ultime consentirono finalmente la cancellazione e la programmazione, da parte dell utente, anche di singoli byte Oggi le nuove FLASH ROM, che vedremo più avanti, cercano di essere compatibili con il mondo precedente (sia in termini di adattabilità sul circuito finale che di compatibilità con i programmatori commerciali), ma hanno delle caratteristiche che le rendono specifiche soprattutto per quanto riguarda la struttura a blocchi, la loro cancellazione e le tempistiche da rispettare Queste memorie sono non volatili e non necessitano di refresh Le memorie EPROM possono essere programmate elettricamente ma non sono cancellabili allo stesso modo, bensì tramite esposizione ai raggi ultravioletti (irradiati da un opportuna lampada) Questa operazione richiede qualche minuto, dopodichè il dispositivo può essere nuovamente programmato con un programmatore standard Fig 833: Sezione di un FAMOS (sinistra) e modellizzazione dell accoppiamento capacitivo (destra)

21 Memorie Fig 834: Iniezione di cariche durante la programmazione del FAMOS (sopra) Caratteristica di trasferimento (sotto) con (a destra) e senza (a sinistra) carica sul Floating Gate Come elemento base della cella di memorizzazione del singolo bit, queste memorie possiedono un Floating Gate Avalanche Injection MOS, abbreviato in FAMOS, riportato in Fig 833 Esso presenta due gate sovrapposti, con quello vicino al canale flottante, isolato dal resto del mondo, mentre il gate superiore può essere contattato dall esterno La programmazione avviene portando il control gate, CG, ad una tensione positiva elevata V G e, contemporaneamente, polarizzando positivamente il drain a tensioni V DA superiori a quelle di normale funzionamento Grazie all accoppiamento capacitivo), il gate interno si porta ad un potenziale V G1 pari a circa ( V G /2), nel caso di spessore dell ossido uniforme Nasce quindi un canale conduttivo in cui gli elettroni acquistano un energia talmente elevata da giungere al drain (dove il campo è più elevato) con velocità tale da creare ionizzazione per impatto Il campo elettrico presenta anche un intensa componente perpendicolare alla superficie del silicio, in grado di iniettare alcuni di questi elettroni nel gate flottante Questa carica negativa si accumula sul gate flottante (FG) fino a quando essa stessa causa un abbassamento della tensione V G1 e, quindi, la scomparsa del canale Si tratta quindi di una programmazione auto-limitante Togliendo ora le tensioni di programmazione, la carica negativa sul FG è tale da impedire comunque la nascita di un canale conduttivo, anche se il CG è polarizzato alle normali tensioni positive di lettura (mediante la word-line) Se invece il FAMOS non fosse stato programmato, si sarebbe comportato come un normale MOS e sarebbe entrato in conduzione ad un livello alto di V G2 In questo modo si va a modificare la tensione di soglia V T del MOS stesso, come si apprezza in Fig 834 La carica, teoricamente, può rimanere immagazzinata nel FG per decine di anni e quindi, a buon diritto, questa cella si può definire non volatile Tuttavia, l esposizione della memoria a radiazione UV (attraverso una finestra trasparente nel package del dispositivo), causa la ricombinazione della carica verso il canale e, in definitiva, la cancellazione di tutta la memoria 87 MEMORIE EEPROM (E 2 PROM) Strutturalmente, le EEPROM (Fig 835) sono simili alle EPROM viste in precedenza, ma possono essere anche cancellate elettricamente Ora però il floating gate si estende sopra il drain in una regione dove l ossido è molto più sottile che altrove (<10nm) La programmazione avviene polarizzando il CG ad una tensione positiva, tale da attrarre gli elettroni che dal drain arrivano sul FG per effetto tunnel Il processo è ancora autolimitante La cancellazione ora può avvenire applicando tensione negativa sul CG: si

22 Fondamenti di Elettronica Fig 835: Cella base di una memoria E 2 PROM Fig 836: Layout di una cella E 2 PROM e relativa rappresentazione circuitale avrà ancora tunneling di elettroni ma questa volta in senso inverso, ossia dal FG al drain Il rischio che si può correre in questa fase consiste nello scaricare il FG in maniera eccessiva, tale da far comparire addirittura carica positiva su di esso; il MOS risulterebbe in questo caso sempre conduttivo (qualunque sia V CG ) Per evitare che questo fenomeno provochi errori di lettura, si è soliti inserire un ulteriore MOS, detto di accesso, raddoppiando però l ingombro A parità di tecnologia, ciò determina una quantità di memoria dimezzata rispetto alle EPROM, come evidente dalla Fig 836 Per permettere la realizzazione di schede estremamente compatte, sono oggi proposte delle EEPROM in cui i dati possono essere letti e scritti solo serialmente, un bit alla volta Una memoria di questo tipo è mostrata in Fig 837 In questo modo, per trasferire i dati bastano solamente due linee: una di Dato In/Out (SDA) ed una di sincronizzazione e clock (SCL) Naturalmente così facendo il trasferimento risulta più lento rispetto ad un accesso parallelo L applicazione di queste memorie non volatili non è certo in schede che necessitino di un elevato throughput o di scambio di dati ad alta velocità (quali i valori istantanei acquisiti da un ADC o i coefficienti da utilizzare ripetutamente in conti elaborati), ma per immagazzinare dati usati sporadicamente (come parametri, settaggi o codici identificativi dell apparato) In questo caso la trasmissione è il tipico SPI (Serial Peripheral Interface) Fig 837: Esempio di memoria E 2 PROM seriale

23 88 MEMORIE FLASH Memorie Una memoria FLASH è una memoria non volatile, le cui celle sono sia programmabili che cancellabili elettricamente La prima cella FLASH fu presentata nel 1979, mentre il primo prodotto commerciale, un chip da 256k di memoria, fece la sua comparsa sul mercato nel 1984 per merito della Toshiba La sua diffusione fu tuttavia molto lenta, fintantochè questa tecnologia dimostrò di essere affidabile e realizzabile industrialmente I primi prototipi FLASH necessitavano di un alimentazione esterna in tensione per la programmazione e, per la cancellazione, era indispensabile intervenire manualmente dall esterno Essi si distinguevano, nei confronti delle EPROM, solo per la proprietà di essere cancellabili elettricamente ma la vita utile era piuttosto breve, meno di cicli 881 STRUTTURA DI UNA MEMORIA FLASH Le moderne memorie FLASH sono fornite di un microcontrollore integrato per gestire l operazione di cancellazione, inoltre offrono la possibilità di cancellare un settore e dispongono di una sola alimentazione La crescente domanda di memorie non volatili ad alta densità per computer portatili e per il mercato delle telecomunicazioni ha incoraggiato un serio interesse nelle memorie FLASH con capacità di immagazzinamento multilivello e di operatività a basse tensioni di alimentazione La prima caratteristica implica che si devono poter conservare due o più bit in una singola cella, mentre la seconda si traduce in una riduzione dei consumi La Fig 838, a sinistra, illustra la sezione trasversale di una cella di FLASH, presentata per la prima volta nel 1988 dalla Intel Essa è composta da un transistor MOSFET a canale n, con un floating gate isolato e sospeso nel materiale dielettrico Questo è separato dal canale da un sottile strato di ossido, spesso circa 10 nm, detto gate oxide, e dal control gate sovrastante da un triplo strato dielettrico (ONO, oxide-nitride-oxide) chiamato interpoly dielectric, il cui spessore equivale a quello di uno strato di diossido di silicio da 20 nm La giunzione di source è più profonda di quella di drain per ottenere maggiori tensioni di breakdown tra il source ed il substrato Infatti, come vedremo, l operazione di cancellazione richiede l applicazione di alte tensioni al source La Fig 838, in centro, mostra il layout della cella standard Un banco di memoria sarà composto da numerosi arrays di queste celle L area attiva ha la forma di una T (rovesciata nella figura in esame): per questo motivo la cella è detta T-shaped Il floating gate si estende su tutto l ossido per garantire la copertura completa della regione di canale e per incrementare la sovrapposizione con il control gate Fig 838: Sezione trasversale (sinistra), layout (centro) e profilo (destra) di una cella FLASH

24 Fondamenti di Elettronica Fig 839: Zone del dispositivo in cui avvengono le operazioni di cancellazione e scrittura Fig 840: Modalità di programmazione e cancellazione nelle memorie ROM Mentre la EPROM si programmava per iniezione a valanga (Channel Hot Electron Injection, CHEI) e si cancellava per rimozione della carica mediante radiazione UV, la EEPROM sfruttava l effetto tunneling (per la precisione il cosiddetto effetto Fowler- Nordheim) sia per la programmazione che per la cancellazione Ora, con le memorie FLASH si programma con il CHEI, ma si cancella mediante processo FN, questa volta verso il source, come mostrato in Fig 839 e riassunto nella tabella di Fig 840 La cancellazione avviene polarizzando negativamente il gate (ovviamente si tratta del control gate, CG, l unico raggiungibile mediante contatto dall esterno) e positivamente il source Il tunneling avviene verso la regione poco drogata di source (che prende il nome, per motivi storici, di LDD-Low Doped Drain ) In programmazione, il FG immagazzina carica, impedendo la successiva formazione del canale; questa situazione corrisponde al livello logico 0 Invece, nel caso di cella vergine o cancellata (livello 1 ), il canale si può formare agendo sul comando del CG Il processo CHEI per la programmazione richiede diversi ma di corrente e tensioni di programmazione elevate (V pp attorno ai 12V) Questa è la ragione per cui era necessario fornire alla memoria FLASH di prima generazione una tensione esterna Attualmente la tecnologia si è evoluta: ad esempio la Atmel sta impiegando un processo avanzato in cui si sfrutta il tunneling FN anche per la programmazione Questo processo richiede correnti di pochi na ad alta tensione (15 o 20V), quindi è possibile utilizzare delle pompe di carica onchip per generare la tensione richiesta In tal caso l alimentazione della memoria è quindi unica e può essere pari a 5V o più bassa (in particolare si stanno affermando valori di 3,3V, 3V, 2,5V, 2,1V fino a 1,8V) Il basso assorbimento permette anche la programmazione contemporanea di interi settori, velocizzando in l operazione di write 882 ORGANIZZAZIONE DI UNA MEMORIA FLASH L architettura interna di una memoria FLASH è differente rispetto a quella delle RAM ed EPROM: la ragione consiste nel fatto che per cancellare la singola cella è necessario poter accedere al source della cella (e quindi al suo bulk sottostante) Poichè è impensabile (allo stato attuale) isolare il bulk di ogni singolo Floating Gate MOS, i Costruttori hanno raggruppato diverse zone sul chip con il bulk in comune Ciò comporta la suddivisione della matrice (array) in blocchi o settori Le memorie FLASH di vecchia generazione

25 Memorie (qualche anno fa) possedevano blocchi molto larghi, di 4k o 128kbytes Quindi, per cancellare (che in sostanza significa riscrivere un altro valore ) una cella (bit, byte o word, a seconda dell organizzazione della memoria) era necessario cancellare tutto il corrispondente blocco, ovvero riportare tutte le celle nello stato 1 Il ciclo di cancellazione (sector erase time) era generalmente di centinaia o migliaia di millisecondi, e l intera memoria poteva quindi richiedere addirittura trenta secondi per essere cancellata! Inoltre, in aggiunta all alimentazione standard V cc =5V, era necessario fornire al chip anche un ulteriore tensione di +12V Oltre all ovvio svantaggio di necessitare di una tensione aggiuntiva per la scrittura, il problema era aggravato dal fatto che questa tensione dovesse necessariamente essere molto stabile e precisa, con tolleranze contenute in un intervallo tipicamente esteso tra 114V 126V I blocchi possono essere tutti della stessa dimensione oppure di dimensioni diverse tra loro; naturalmente la suddivisione in piccoli blocchi è vantaggiosa perché la loro cancellazione non coinvolge altre celle ed il processo può avvenire più rapidamente Tipicamente, nelle FLASH per alterare un byte è necessaria la riscrittura di una pagina, normalmente di 64 bytes o anche di qualche kbyte, mentre la EEPROM non richiede un operazione di block-erase per liberare spazio prima di potere scrivere Questo provoca un enorme (ma necessario) dispendio di tempo, come si può vedere dalla tabella di Fig 841 per le 2Mbit Intel La struttura è una 256k 8, quindi il tempo medio di programmazione per un byte è appunto 4 sec / 256K =15µs / byte Nel caso peggiore invece si avrà 25sec / 256K =100µs / byte Tale differenza non è dovuta solo alle inevitabili tolleranze di fabbricazione del processo, bensì dipende anche dall invecchiamento delle celle, fenomeno che coincide in pratica col numero di cancellazioni subite dal Floating Gate MOS, nonché dalle condizioni ambientali (quali temperatura, stabilità e valore corretto dell alimentazione V cc e soprattutto V pp ) L effetto deleterio della temperatura su programmazione e cancellazione del chip è riportato in Fig 842 Si noti un drastico peggioramento oltre i 70 C per il tempo di programmazione (scrittura), che tende ad aumentare sensibilmente dopo circa cicli È fondamentale specificare a questo riguardo un altra differenza rispetto alle EEPROM Anch esse, infatti, hanno una durata di vita limitata (solitamente kwrite/byte) che a prima vista potrebbe sembrare paragonabile a quella delle FLASH (10-100k erase/byte) In Fig 841: Tabella relativa ai tempi di cancellazione e programmazione di una 2 Mbit Intel

26 Fondamenti di Elettronica Fig 842: Dipendenza dei tempi di programmazione (sinistra) e cancellazione (destra) dalla temperatura realtà la differenza è notevole poiché le FLASH non subiscono invecchiamento (inteso come stress per gli alti campi elettrici applicati alla Floating Gate ) se non in corrispondenza dell erase di un blocco, ossia solo quando si cancella un blocco Per esempio, un blocco da 8kbyte contiene 8192 bytes, quindi potranno avvenire ben operazioni di write prima che avvenga una singola erase Inoltre è possibile allungare il tempo di vita di una FLASH mediante opportuni algoritmi di archiviazione dei dati Si supponga, ad esempio, di dover sovente memorizzare 5 bytes come risultato di un elaborazione o acquisizione Se si decidesse di salvare (cioè scrivere) questo stream di dati all interno della FLASH in uno stesso gruppo di registri, allora sarebbe necessario far precedere a tale operazione l erase di tutto il blocco Operando secondo questa modalità, dopo salvataggi la FLASH sarebbe già vecchia Conviene invece salvare i 5 bytes in celle successive, anche se le precedenti non dovessero servire più Una possibile scelta è quella di scrivere i nostri 5 bytes insieme ad altri, come ad esempio il byte di stato (FF H = clean, 0F H = valid, 00 H = dirty ), per un totale di 6 bytes, in celle successive Il blocco da 8K si riempirebbe dopo ben ( 8 192/6 )= scritture Solo a questo punto si dovrebbe effettuare l erase del blocco e si potrebbe ripartire dalla prima cella Se il salvataggio avvenisse ogni minuto, invece di dover sostituire la FLASH (o comunque iniziare ad avere problemi di affidabilità) dopo minuti, corrispondenti a 70 giorni, si potrebbe continuare a lavorare per ben =95550 giorni, ossia 260 anni! Naturalmente, da parte del µp (e quindi dall utente) sarebbe necessario gestire in modo leggermente più complesso il salvataggio, marcando con FF H la quintupla di byte da scartare perché obsoleti, scrivendo i nuovi 5 bytes e inserendo anche il nuovo byte di controllo 0F H Se il µp avesse bisogno degli ultimi 5 bytes salvati, dovrebbe cercare all interno del blocco fino a trovare il byte a 0F H Al successivo salvataggio basterà sovrascrivere con 00 H il byte precedente ed inserire la nuova sestupla

27 Memorie Fig 843: Timing relativi alle operazioni di cancellazione e programmazione di una memoria FLASH Si noti che la scelta del codice relativo al byte di stato non è stata casuale Infatti si deve ricordare che una scrittura (write) in una FLASH è gratuita e permette di sbiancare gli 1 in 0 Naturalmente nell approccio finora considerato si deve avere a disposizione un intero blocco di memoria da dedicare a questa piccola serie di byte Tutto dipende dalle scelte di progetto e dalle economie di scala dei dispositivi sempre più capienti ed economici Altro problema delle FLASH consiste nel fatto che la memorizzazione di carica nel Floating Gate della cella è funzione delle tolleranze di processo e del layout del MOS stesso Pertanto il tempo necessario per scrivere un byte può variare da 8µs a 155µs, come mostra la tabella di Fig 843 Nella stessa tabella sono specificati anche i tempi di Erase, indicati come t WHQV3 e t WHQV4 Questi tempi rimangono circa costanti per un numero di cancellazioni inferiore a Oltre questo valore, anche il tempo necessario per la cancellazione aumenta, perciò sarà cura del µp attendere per un tempo più lungo, se il progetto deve rimanere affidabile un numero superiore di cicli 883 COMANDI DI UNA MEMORIA FLASH Fortunatamente, non deve essere il progettista a programmare il firmware del µp in modo da fargli attendere il più a lungo possibile, come specificato dal costruttore della FLASH È invece proprio quest ultimo che mette a disposizione, all interno della FLASH, uno Status Register che indica quando un operazione di program o di erase è completata Quindi il µp può fare un polling su questo registro interno per capire quando la memoria è pronta per un altra operazione, ad esempio di lettura Grazie alla presenza di questo importante registro di comando, la FLASH può essere pilotata con le solite linee OE, CE, WE (oltre all alta tensione V pp ) per le operazioni di lettura e scrittura L importante è scrivere dei comandi opportuni in questo registro, in modo che nella FLASH si avvii una macchina a stati per presiedere a tutte le operazioni necessarie La tabella di Fig 844 riporta alcuni comandi

28 Fondamenti di Elettronica Fig 844: Elenco di comandi caratteristici di una memoria FLASH Fig 845: Operazioni che avvengono sul bus in seguito a un comando La FLASH si attende che il primo ciclo di scrittura (write) sia un comando e, quindi, non scrive nulla nelle celle di memoria vere e proprie Le azioni conseguenti che vengono avviate sui pin e sui bus di dati sono mostrate in Fig 845 Il comando di lettura, OO H, permette la lettura anche con V pp =12V Dopo avere scritto il comando, è necessario attendere 6 µs prima di leggere la memoria all indirizzo desiderato Una volta in read, non sono necessarie altre operazioni sul registro per ulteriori letture Il comando di lettura dell Intelligent Identifier, 90 H, permette di conoscere il codice identificativo del costruttore della memoria e quello identificativo dell ID del chip stesso In questo modo il µp o il Programmer può sapere di che tipo di memoria si tratta e scegliere conseguentemente la corretta tecnica e tempistica di pilotaggio Dopo questo è necessario fornire il comando di Read Memory, OO H, per terminare la lettura dell II Il comando di Set-Up Erase/Erase, 20 H ripetuto due volte, inizia la cancellazione della FLASH (sul secondo rising-edge del pin WE) La cancellazione termina quando il µp invia il nuovo comando Erase-verify o quando un timer interno alla FLASH va in time-out Quest ultima modalità fornisce una sicurezza ed una semplificazione aggiuntiva nella gestione della memoria da parte del µc

LABORATORIO DI SISTEMI

LABORATORIO DI SISTEMI ALUNNO: Fratto Claudio CLASSE: IV B Informatico ESERCITAZIONE N : 1 LABORATORIO DI SISTEMI OGGETTO: Progettare e collaudare un circuito digitale capace di copiare le informazioni di una memoria PROM in

Dettagli

Architettura del computer (C.Busso)

Architettura del computer (C.Busso) Architettura del computer (C.Busso) Il computer nacque quando fu possibile costruire circuiti abbastanza complessi in logica programmata da una parte e, dall altra, pensare, ( questo è dovuto a Von Neumann)

Dettagli

Gerarchia delle memorie

Gerarchia delle memorie Memorie Gerarchia delle memorie Cache CPU Centrale Massa Distanza Capacità Tempi di accesso Costo 2 1 Le memorie centrali Nella macchina di Von Neumann, le istruzioni e i dati sono contenute in una memoria

Dettagli

Esame di INFORMATICA

Esame di INFORMATICA Università di L Aquila Facoltà di Biotecnologie Esame di INFORMATICA Lezione 4 MACCHINA DI VON NEUMANN Anni 40 i dati e i programmi che descrivono come elaborare i dati possono essere codificati nello

Dettagli

Le memorie. Introduzione

Le memorie. Introduzione Le memorie Introduzione Una memoria è un sistema elettronico in grado di immagazzinare dati in forma binaria, per poi renderli disponibili ad ogni richiesta. Tale sistema è costituito da un insieme di

Dettagli

L organizzazione interna della memoria e del banco di registri prevedono generalmente che le uscite di 2 o più componenti

L organizzazione interna della memoria e del banco di registri prevedono generalmente che le uscite di 2 o più componenti Banco di registri e memoria Corso ACSO prof. Cristina SILVANO Politecnico di Milano Componenti di memoria e circuiti di pilotaggio L organizzazione interna della memoria e del banco di registri prevedono

Dettagli

Le memorie. Generalità E applicazioni

Le memorie. Generalità E applicazioni Le memorie Generalità E applicazioni Caratteristiche generali Tempo di risposta Capacità Alimentazione Dissipazione di potenza Numero di pin Costo per bit Modalità di accesso Per poter scrivere un dato

Dettagli

Corso di Informatica

Corso di Informatica CdLS in Odontoiatria e Protesi Dentarie Corso di Informatica Prof. Crescenzio Gallo crescenzio.gallo@unifg.it La memoria principale 2 izzazione della memoria principale ria principale è organizzata come

Dettagli

Modulo 1 Le memorie. Si possono raggruppare i sistemi di elaborazione nelle seguenti categorie in base alle possibilità di utilizzazione:

Modulo 1 Le memorie. Si possono raggruppare i sistemi di elaborazione nelle seguenti categorie in base alle possibilità di utilizzazione: Modulo 1 Le memorie Le Memorie 4 ETA Capitolo 1 Struttura di un elaboratore Un elaboratore elettronico è un sistema capace di elaborare dei dati in ingresso seguendo opportune istruzioni e li elabora fornendo

Dettagli

Laboratorio di Informatica

Laboratorio di Informatica per chimica industriale e chimica applicata e ambientale LEZIONE 4 - parte II La memoria 1 La memoriaparametri di caratterizzazione Un dato dispositivo di memoria è caratterizzato da : velocità di accesso,

Dettagli

Corso PLC - Manuale Pratico 1

Corso PLC - Manuale Pratico 1 Corso PLC - Manuale Pratico 1 "!#$ % L'unità centrale di un PLC, chiamata più semplicemente CPU, normalmente occupa il primo modulo del rack. Si individua subito in quanto tipicamente è dotata di un selettore,

Dettagli

Architettura hardware

Architettura hardware Architettura dell elaboratore Architettura hardware la parte che si può prendere a calci Sistema composto da un numero elevato di componenti, in cui ogni componente svolge una sua funzione elaborazione

Dettagli

SISTEMI DI ELABORAZIONE DELLE INFORMAZIONI

SISTEMI DI ELABORAZIONE DELLE INFORMAZIONI SISTEMI DI ELABORAZIONE DELLE INFORMAZIONI Prof. Andrea Borghesan venus.unive.it/borg borg@unive.it Ricevimento: martedì, 12.00-13.00. Dip. Di Matematica Modalità esame: scritto + tesina facoltativa 1

Dettagli

Memory TREE. Luigi Zeni DII-SUN Fondamenti di Elettronica Digitale

Memory TREE. Luigi Zeni DII-SUN Fondamenti di Elettronica Digitale Memory TREE Mercato delle memorie non-volatili Organizzazione della memoria Row Address 1 2 M Row D e c o d e r M 2 rows 1 Bitline One Storage Cell Cell Array Wordline Row Decoder 2 M 1 2 N Sense Amplifiers

Dettagli

C. P. U. MEMORIA CENTRALE

C. P. U. MEMORIA CENTRALE C. P. U. INGRESSO MEMORIA CENTRALE USCITA UNITA DI MEMORIA DI MASSA La macchina di Von Neumann Negli anni 40 lo scienziato ungherese Von Neumann realizzò il primo calcolatore digitale con programma memorizzato

Dettagli

DEFINIZIONE 1/2 memoria ad accesso casuale RAM

DEFINIZIONE 1/2 memoria ad accesso casuale RAM CORSO BASE DI TECNICO RIPARATORE HARDWARE RAM Docente: Dott. Ing. Antonio Pagano DEFINIZIONE 1/2 In informatica la memoria ad accesso casuale, acronimo RAM (del corrispondente termine inglese Random-Access

Dettagli

1.4b: Hardware. (Memoria Centrale)

1.4b: Hardware. (Memoria Centrale) 1.4b: Hardware (Memoria Centrale) Bibliografia Curtin, Foley, Sen, Morin Informatica di base, Mc Graw Hill Ediz. Fino alla III : cap. 3.11, 3.13 IV ediz.: cap. 2.8, 2.9 Questi lucidi Memoria Centrale Un

Dettagli

Laboratorio di Informatica

Laboratorio di Informatica per chimica industriale e chimica applicata e ambientale LEZIONE 4 La CPU e l esecuzione dei programmi 1 Nelle lezioni precedenti abbiamo detto che Un computer è costituito da 3 principali componenti:

Dettagli

I componenti di un Sistema di elaborazione. Memoria centrale. È costituita da una serie di CHIP disposti su una scheda elettronica

I componenti di un Sistema di elaborazione. Memoria centrale. È costituita da una serie di CHIP disposti su una scheda elettronica I componenti di un Sistema di elaborazione. Memoria centrale Memorizza : istruzioni dati In forma BINARIA : 10001010101000110101... È costituita da una serie di CHIP disposti su una scheda elettronica

Dettagli

Dispensa di Informatica I.1

Dispensa di Informatica I.1 IL COMPUTER: CONCETTI GENERALI Il Computer (o elaboratore) è un insieme di dispositivi di diversa natura in grado di acquisire dall'esterno dati e algoritmi e produrre in uscita i risultati dell'elaborazione.

Dettagli

La memoria centrale (RAM)

La memoria centrale (RAM) La memoria centrale (RAM) Mantiene al proprio interno i dati e le istruzioni dei programmi in esecuzione Memoria ad accesso casuale Tecnologia elettronica: Veloce ma volatile e costosa Due eccezioni R.O.M.

Dettagli

Manuale Terminal Manager 2.0

Manuale Terminal Manager 2.0 Manuale Terminal Manager 2.0 CREAZIONE / MODIFICA / CANCELLAZIONE TERMINALI Tramite il pulsante NUOVO possiamo aggiungere un terminale alla lista del nostro impianto. Comparirà una finestra che permette

Dettagli

Architettura dei computer

Architettura dei computer Architettura dei computer In un computer possiamo distinguere quattro unità funzionali: il processore (CPU) la memoria principale (RAM) la memoria secondaria i dispositivi di input/output Il processore

Dettagli

Appunti sulla Macchina di Turing. Macchina di Turing

Appunti sulla Macchina di Turing. Macchina di Turing Macchina di Turing Una macchina di Turing è costituita dai seguenti elementi (vedi fig. 1): a) una unità di memoria, detta memoria esterna, consistente in un nastro illimitato in entrambi i sensi e suddiviso

Dettagli

Organizzazione della memoria

Organizzazione della memoria Memorizzazione dati La fase di codifica permette di esprimere qualsiasi informazione (numeri, testo, immagini, ecc) come stringhe di bit: Es: di immagine 00001001100110010010001100110010011001010010100010

Dettagli

Fig. 1. Cella SRAM a 4 transistori.

Fig. 1. Cella SRAM a 4 transistori. NOTE SULLE MEMORIE. Dimensionamento della cella SRAM 4T La Fig. 1 mostra lo schema di una memoria SRAM a 4 transistori (4T). L elemento di memoria è realizzato con una coppia di invertitori NMOS con carico

Dettagli

Materiali per il modulo 1 ECDL. Autore: M. Lanino

Materiali per il modulo 1 ECDL. Autore: M. Lanino Materiali per il modulo 1 ECDL Autore: M. Lanino RAM, l'acronimo per "random access memory", ovvero "memoria ad acceso casuale", è la memoria in cui vengono caricati i dati che devono essere utilizzati

Dettagli

Memorie ROM (Read Only Memory)

Memorie ROM (Read Only Memory) Memorie ROM (Read Only Memory) Considerando la prima forma canonica, la realizzazione di qualsiasi funzione di m variabili richiede un numero di porte AND pari al numero dei suoi mintermini e di prolungare

Dettagli

La memoria - generalità

La memoria - generalità Calcolatori Elettronici La memoria gerarchica Introduzione La memoria - generalità n Funzioni: Supporto alla CPU: deve fornire dati ed istruzioni il più rapidamente possibile Archiviazione: deve consentire

Dettagli

Mercato delle memorie non-volatili

Mercato delle memorie non-volatili Memory TREE Mercato delle memorie non-volatili Organizzazione della memoria Row Address 1 2 M Row D e c o d e r M 2 rows 1 Bitline One Storage ell ell Array Wordline Row Decoder 2 M 1 2 N Sense Amplifiers

Dettagli

Organizzazione della memoria principale Il bus

Organizzazione della memoria principale Il bus Corso di Alfabetizzazione Informatica 2001/2002 Organizzazione della memoria principale Il bus Organizzazione della memoria principale La memoria principale è organizzata come un insieme di registri di

Dettagli

Il processore. Il processore. Il processore. Il processore. Architettura dell elaboratore

Il processore. Il processore. Il processore. Il processore. Architettura dell elaboratore Il processore Architettura dell elaboratore Il processore La esegue istruzioni in linguaggio macchina In modo sequenziale e ciclico (ciclo macchina o ciclo ) Effettuando operazioni di lettura delle istruzioni

Dettagli

Unità Periferiche. Rete Di Controllo

Unità Periferiche. Rete Di Controllo MODELLO LOGICO-FUNZIONALE DI UN ELABORATORE Centrale di canale Periferiche CPU Memoria centrale ALU CU Memoria Locale ALU = Aritmetic Logic Unit CU = Registri CU ISTRUZIONE Decodificatore Rete Di Controllo

Dettagli

Livello logico digitale. bus e memorie

Livello logico digitale. bus e memorie Livello logico digitale bus e memorie Principali tipi di memoria Memoria RAM Memorie ROM RAM (Random Access Memory) SRAM (Static RAM) Basata su FF (4 o 6 transistor MOS) Veloce, costosa, bassa densità

Dettagli

DMA Accesso Diretto alla Memoria

DMA Accesso Diretto alla Memoria Testo di rif.to: [Congiu] - 8.1-8.3 (pg. 241 250) 08.a DMA Accesso Diretto alla Memoria Motivazioni Organizzazione dei trasferimenti DMA Arbitraggio del bus di memoria Trasferimento di un blocco di dati

Dettagli

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0 Rappresentazione dei numeri I numeri che siamo abituati ad utilizzare sono espressi utilizzando il sistema di numerazione decimale, che si chiama così perché utilizza 0 cifre (0,,2,3,4,5,6,7,8,9). Si dice

Dettagli

Architettura dei calcolatori II parte Memorie

Architettura dei calcolatori II parte Memorie Università degli Studi di Palermo Dipartimento di Ingegneria Informatica Informatica ed Elementi di Statistica 3 c.f.u. Anno Accademico 2010/2011 Docente: ing. Salvatore Sorce Architettura dei calcolatori

Dettagli

Architettura di un calcolatore

Architettura di un calcolatore 2009-2010 Ingegneria Aerospaziale Prof. A. Palomba - Elementi di Informatica (E-Z) 7 Architettura di un calcolatore Lez. 7 1 Modello di Von Neumann Il termine modello di Von Neumann (o macchina di Von

Dettagli

Laboratorio 3: Celle di memoria RAM

Laboratorio 3: Celle di memoria RAM Laboratorio 3: Celle di memoria RAM Ing. Ivan Blunno 21 aprile 2005 1 Cella RAM statica a 4 transistor Realizzare il circuito di figura 1 rappresentante una cella di RAM statica a 4 transistor. Assegnare

Dettagli

STRUTTURE DEI SISTEMI DI CALCOLO

STRUTTURE DEI SISTEMI DI CALCOLO STRUTTURE DEI SISTEMI DI CALCOLO 2.1 Strutture dei sistemi di calcolo Funzionamento Struttura dell I/O Struttura della memoria Gerarchia delle memorie Protezione Hardware Architettura di un generico sistema

Dettagli

Introduzione. Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD... 6

Introduzione. Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD... 6 Appunti di Calcolatori Elettronici Esecuzione di istruzioni in parallelo Introduzione... 1 Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD...

Dettagli

Con il termine Sistema operativo si fa riferimento all insieme dei moduli software di un sistema di elaborazione dati dedicati alla sua gestione.

Con il termine Sistema operativo si fa riferimento all insieme dei moduli software di un sistema di elaborazione dati dedicati alla sua gestione. Con il termine Sistema operativo si fa riferimento all insieme dei moduli software di un sistema di elaborazione dati dedicati alla sua gestione. Compito fondamentale di un S.O. è infatti la gestione dell

Dettagli

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Rappresentazione in virgola mobile

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Rappresentazione in virgola mobile Problemi connessi all utilizzo di un numero di bit limitato Abbiamo visto quali sono i vantaggi dell utilizzo della rappresentazione in complemento alla base: corrispondenza biunivoca fra rappresentazione

Dettagli

In un modello a strati il SO si pone come un guscio (shell) tra la macchina reale (HW) e le applicazioni 1 :

In un modello a strati il SO si pone come un guscio (shell) tra la macchina reale (HW) e le applicazioni 1 : Un Sistema Operativo è un insieme complesso di programmi che, interagendo tra loro, devono svolgere una serie di funzioni per gestire il comportamento del computer e per agire come intermediario consentendo

Dettagli

Informatica - A.A. 2010/11

Informatica - A.A. 2010/11 Ripasso lezione precedente Facoltà di Medicina Veterinaria Corso di laurea in Tutela e benessere animale Corso Integrato: Matematica, Statistica e Informatica Modulo: Informatica Esercizio: Convertire

Dettagli

Capitolo. Interfacciamento di periferiche I/O con il PC. 1.1 Il BUS di espansione del PC

Capitolo. Interfacciamento di periferiche I/O con il PC. 1.1 Il BUS di espansione del PC Capitolo 1 Interfacciamento di periferiche I/O con il PC 1.1 Il BUS di espansione del PC 1.2 Interfacciamento di periferiche I/O con il PC, con dispositivi non programmabili 1.3 Istruzioni per leggere

Dettagli

Circuiti sequenziali e elementi di memoria

Circuiti sequenziali e elementi di memoria Il Livello Logicoigitale I circuiti sequenziali Corso ACSO prof. Cristina SILVANO Politecnico di Milano Sommario Circuiti sequenziali e elementi di memoria Bistabile SR asincrono Temporizzazione e clock

Dettagli

clock DATA BUS ADDRESS BUS CONTROL BUS In realtà il bus del microprocessore si compone di 3 bus diversi: Bus indirizzi Bus di controllo

clock DATA BUS ADDRESS BUS CONTROL BUS In realtà il bus del microprocessore si compone di 3 bus diversi: Bus indirizzi Bus di controllo Schede a microprocessore Seconda parte Mondo esterno clock MEMORIA CPU PERIFERICA ADATTATORE DATA BUS ADDRESS BUS CONTROL BUS In realtà il bus del microprocessore si compone di 3 bus diversi: Bus dati

Dettagli

ARCHITETTURE MICROPROGRAMMATE. 1. Necessità di un architettura microprogrammata 1. Cos è un architettura microprogrammata? 4

ARCHITETTURE MICROPROGRAMMATE. 1. Necessità di un architettura microprogrammata 1. Cos è un architettura microprogrammata? 4 ARCHITETTURE MICROPROGRAMMATE. 1 Necessità di un architettura microprogrammata 1 Cos è un architettura microprogrammata? 4 Struttura di una microistruzione. 5 Esempi di microprogrammi 9 Esempio 1 9 Esempio

Dettagli

8 Microcontrollori PIC

8 Microcontrollori PIC 8 Microcontrollori PIC 8.1 Descrizione generale Un microcontrollore è un dispositivo elettronico che opportunamente programmato è in grado di svolgere diverse funzioni in modo autonomo. Essenzialmente

Dettagli

Input/Output. Moduli di Input/ Output. gestiscono quantità di dati differenti a velocità diverse in formati diversi. n Grande varietà di periferiche

Input/Output. Moduli di Input/ Output. gestiscono quantità di dati differenti a velocità diverse in formati diversi. n Grande varietà di periferiche Input/Output n Grande varietà di periferiche gestiscono quantità di dati differenti a velocità diverse in formati diversi n Tutti più lenti della CPU e della RAM n Necessità di avere moduli di I/O Moduli

Dettagli

PLC Programmable Logic Controller

PLC Programmable Logic Controller PLC Programmable Logic Controller Sistema elettronico, a funzionamento digitale, destinato all uso in ambito industriale, che utilizza una memoria programmabile per l archiviazione di istruzioni orientate

Dettagli

Esercitazione N. 1 Misurazione di resistenza con metodo volt-amperometrico

Esercitazione N. 1 Misurazione di resistenza con metodo volt-amperometrico Esercitazione N. 1 Misurazione di resistenza con metodo volt-amperometrico 1.1 Lo schema di misurazione Le principali grandezze elettriche che caratterizzano un bipolo in corrente continua, quali per esempio

Dettagli

SISTEMI DI NUMERAZIONE E CODICI

SISTEMI DI NUMERAZIONE E CODICI SISTEMI DI NUMERAZIONE E CODICI Il Sistema di Numerazione Decimale Il sistema decimale o sistema di numerazione a base dieci usa dieci cifre, dette cifre decimali, da O a 9. Il sistema decimale è un sistema

Dettagli

Amplificatori Audio di Potenza

Amplificatori Audio di Potenza Amplificatori Audio di Potenza Un amplificatore, semplificando al massimo, può essere visto come un oggetto in grado di aumentare il livello di un segnale. Ha quindi, generalmente, due porte: un ingresso

Dettagli

Circuiti amplificatori

Circuiti amplificatori Circuiti amplificatori G. Traversi Strumentazione e Misure Elettroniche Corso Integrato di Elettrotecnica e Strumentazione e Misure Elettroniche 1 Amplificatori 2 Amplificatori Se A V è negativo, l amplificatore

Dettagli

LATCH E FLIP-FLOP. Fig. 1 D-latch trasparente per ck=1

LATCH E FLIP-FLOP. Fig. 1 D-latch trasparente per ck=1 LATCH E FLIPFLOP. I latch ed i flipflop sono gli elementi fondamentali per la realizzazione di sistemi sequenziali. In entrambi i circuiti la temporizzazione è affidata ad un opportuno segnale di cadenza

Dettagli

Procedure di ripristino del sistema.

Procedure di ripristino del sistema. Procedure di ripristino del sistema. Procedura adatta a sistemi con sistema operativo Microsoft Windows 8 In questo manuale verranno illustrate tutte le procedure che potrete utilizzare per creare dei

Dettagli

. A primi passi con microsoft a.ccepss SommarIo: i S 1. aprire e chiudere microsoft access Start (o avvio) l i b tutti i pro- grammi

. A primi passi con microsoft a.ccepss SommarIo: i S 1. aprire e chiudere microsoft access Start (o avvio) l i b tutti i pro- grammi Capitolo Terzo Primi passi con Microsoft Access Sommario: 1. Aprire e chiudere Microsoft Access. - 2. Aprire un database esistente. - 3. La barra multifunzione di Microsoft Access 2007. - 4. Creare e salvare

Dettagli

FIRESHOP.NET. Gestione completa delle fidelity card & raccolta punti. Rev. 2014.3.1 www.firesoft.it

FIRESHOP.NET. Gestione completa delle fidelity card & raccolta punti. Rev. 2014.3.1 www.firesoft.it FIRESHOP.NET Gestione completa delle fidelity card & raccolta punti Rev. 2014.3.1 www.firesoft.it Sommario SOMMARIO Introduzione... 3 La gestione delle fidelity card nel POS... 4 Codificare una nuova fidelity

Dettagli

Architettura dei Calcolatori

Architettura dei Calcolatori Architettura dei Calcolatori Sistema di memoria parte prima Ing. dell Automazione A.A. 2011/12 Gabriele Cecchetti Sistema di memoria parte prima Sommario: Banco di registri Generalità sulla memoria Tecnologie

Dettagli

Come costruire una presentazione. PowerPoint 1. ! PowerPoint permette la realizzazione di presentazioni video ipertestuali, animate e multimediali

Come costruire una presentazione. PowerPoint 1. ! PowerPoint permette la realizzazione di presentazioni video ipertestuali, animate e multimediali PowerPoint Come costruire una presentazione PowerPoint 1 Introduzione! PowerPoint è uno degli strumenti presenti nella suite Office di Microsoft! PowerPoint permette la realizzazione di presentazioni video

Dettagli

Come visto precedentemente l equazione integro differenziale rappresentativa dell equilibrio elettrico di un circuito RLC è la seguente: 1 = (1)

Come visto precedentemente l equazione integro differenziale rappresentativa dell equilibrio elettrico di un circuito RLC è la seguente: 1 = (1) Transitori Analisi nel dominio del tempo Ricordiamo che si definisce transitorio il periodo di tempo che intercorre nel passaggio, di un sistema, da uno stato energetico ad un altro, non è comunque sempre

Dettagli

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU Lezione n.7 Il moltiplicatore binario e il ciclo di base di una CPU 1 SOMMARIO Architettura del moltiplicatore Architettura di base di una CPU Ciclo principale di base di una CPU Riprendiamo l analisi

Dettagli

CLASSE III A I.T.I. (ABACUS) SISTEMI DI ELABORAZIONE E TRASMISSIONE DEI DATI VERIFICA DI RECUPERO

CLASSE III A I.T.I. (ABACUS) SISTEMI DI ELABORAZIONE E TRASMISSIONE DEI DATI VERIFICA DI RECUPERO CLASSE III A I.T.I. (ABACUS) SISTEMI DI ELABORAZIONE E TRASMISSIONE DEI DATI VERIFICA DI RECUPERO 1 Domanda [1 punto] Dato il formato in virgola mobile su 32 bit così definito (precisione singola): o 1

Dettagli

CONVERTITORI DIGITALE/ANALOGICO (DAC)

CONVERTITORI DIGITALE/ANALOGICO (DAC) CONVERTITORI DIGITALE/ANALOGICO (DAC) Un convertitore digitale/analogico (DAC: digital to analog converter) è un circuito che fornisce in uscita una grandezza analogica proporzionale alla parola di n bit

Dettagli

Il Sistema Operativo. C. Marrocco. Università degli Studi di Cassino

Il Sistema Operativo. C. Marrocco. Università degli Studi di Cassino Il Sistema Operativo Il Sistema Operativo è uno strato software che: opera direttamente sull hardware; isola dai dettagli dell architettura hardware; fornisce un insieme di funzionalità di alto livello.

Dettagli

G S M C O M M A N D E R Duo S

G S M C O M M A N D E R Duo S Il GSM Commander Duo S permette, di attivare indipendentemente o contemporaneamente due contatti elettrici, Contatto1 (C1) e Contatto2 (C2), attraverso una chiamata telefonica a costo zero al numero della

Dettagli

PULSANTI E PAGINE Sommario PULSANTI E PAGINE...1

PULSANTI E PAGINE Sommario PULSANTI E PAGINE...1 Pagina 1 Sommario...1 Apertura...2 Visualizzazioni...2 Elenco...2 Testo sul pulsante e altre informazioni...3 Comandi...3 Informazioni...4 Flow chart...5 Comandi...6 Pulsanti Principali e Pulsanti Dipendenti...6

Dettagli

Come creare il test di Yasso tramite l applicazione Training Center

Come creare il test di Yasso tramite l applicazione Training Center Come creare il test di Yasso tramite l applicazione Training Center A differenza degli altri test pubblicati da Garmin, il test di Yasso necessita di un approfondimento. Il test di Yasso è un test molto

Dettagli

Verificare il funzionamento delle memorie RAM Saper effettuare misure di collaudo. Dip switch Pulsante n.a. Octal tri-state buffer IC2 = MM 2114

Verificare il funzionamento delle memorie RAM Saper effettuare misure di collaudo. Dip switch Pulsante n.a. Octal tri-state buffer IC2 = MM 2114 SCH 31 Scrittura/lettura RAM Obiettivi Strumenti e componenti Verificare il funzionamento delle memorie RAM Saper effettuare misure di collaudo S1 S5 P1 IC1 = 74LS244 Dip switch Pulsante n.a. Octal tri-state

Dettagli

1.1.3.1. Conoscere i diversi tipi di memoria centrale presenti nel computer, quali: RAM (randomaccess memory), ROM (read-only memory)

1.1.3.1. Conoscere i diversi tipi di memoria centrale presenti nel computer, quali: RAM (randomaccess memory), ROM (read-only memory) 1.1.3.1 Conoscere i diversi tipi di memoria centrale presenti nel computer, quali: RAM (randomaccess memory), ROM (read-only memory) Se non fosse in grado di ricordare l uomo non sarebbe capace di eseguire

Dettagli

Consumo di Potenza nell inverter CMOS. Courtesy of Massimo Barbaro

Consumo di Potenza nell inverter CMOS. Courtesy of Massimo Barbaro Consumo di Potenza nell inverter CMOS Potenza dissipata Le componenti del consumo di potenza sono 3: Potenza statica: è quella dissipata quando l inverter ha ingresso costante, in condizioni di stabilità

Dettagli

2.7 La cartella Preparazioni e CD Quiz Casa

2.7 La cartella Preparazioni e CD Quiz Casa 2.7 La cartella Preparazioni e CD Quiz Casa SIDA CD Quiz Casa è il cd che permette al candidato di esercitarsi a casa sui quiz ministeriali e personalizzati. L autoscuola può consegnare il cd al candidato

Dettagli

Procedure di ripristino del sistema.

Procedure di ripristino del sistema. Procedure di ripristino del sistema. Procedure adatte a sistemi con sistema operativo Microsoft Windows 7 In questo manuale verranno illustrate tutte le procedure che potrete utilizzare per creare dei

Dettagli

Laboratorio di Informatica di Base Archivi e Basi di Dati

Laboratorio di Informatica di Base Archivi e Basi di Dati Laboratorio di Informatica di Base Archivi e Basi di Dati Introduzione La memorizzazione dei dati è un aspetto molto importante dell informatica Oggi, mediante i computer, è possibile memorizzare e modificare

Dettagli

Siamo così arrivati all aritmetica modulare, ma anche a individuare alcuni aspetti di come funziona l aritmetica del calcolatore come vedremo.

Siamo così arrivati all aritmetica modulare, ma anche a individuare alcuni aspetti di come funziona l aritmetica del calcolatore come vedremo. DALLE PESATE ALL ARITMETICA FINITA IN BASE 2 Si è trovato, partendo da un problema concreto, che con la base 2, utilizzando alcune potenze della base, operando con solo addizioni, posso ottenere tutti

Dettagli

Un sistema operativo è un insieme di programmi che consentono ad un utente di

Un sistema operativo è un insieme di programmi che consentono ad un utente di INTRODUZIONE AI SISTEMI OPERATIVI 1 Alcune definizioni 1 Sistema dedicato: 1 Sistema batch o a lotti: 2 Sistemi time sharing: 2 Sistema multiprogrammato: 3 Processo e programma 3 Risorse: 3 Spazio degli

Dettagli

per immagini guida avanzata Organizzazione e controllo dei dati Geometra Luigi Amato Guida Avanzata per immagini excel 2000 1

per immagini guida avanzata Organizzazione e controllo dei dati Geometra Luigi Amato Guida Avanzata per immagini excel 2000 1 Organizzazione e controllo dei dati Geometra Luigi Amato Guida Avanzata per immagini excel 2000 1 Il raggruppamento e la struttura dei dati sono due funzioni di gestione dati di Excel, molto simili tra

Dettagli

APPUNTI DI MATEMATICA LE FRAZIONI ALGEBRICHE ALESSANDRO BOCCONI

APPUNTI DI MATEMATICA LE FRAZIONI ALGEBRICHE ALESSANDRO BOCCONI APPUNTI DI MATEMATICA LE FRAZIONI ALGEBRICHE ALESSANDRO BOCCONI Indice 1 Le frazioni algebriche 1.1 Il minimo comune multiplo e il Massimo Comun Divisore fra polinomi........ 1. Le frazioni algebriche....................................

Dettagli

Sistema operativo: Gestione della memoria

Sistema operativo: Gestione della memoria Dipartimento di Elettronica ed Informazione Politecnico di Milano Informatica e CAD (c.i.) - ICA Prof. Pierluigi Plebani A.A. 2008/2009 Sistema operativo: Gestione della memoria La presente dispensa e

Dettagli

Librerie digitali. Video. Gestione di video. Caratteristiche dei video. Video. Metadati associati ai video. Metadati associati ai video

Librerie digitali. Video. Gestione di video. Caratteristiche dei video. Video. Metadati associati ai video. Metadati associati ai video Video Librerie digitali Gestione di video Ogni filmato è composto da più parti Video Audio Gestito come visto in precedenza Trascrizione del testo, identificazione di informazioni di interesse Testo Utile

Dettagli

Interfacciamento con memorie Pagina 1 di 9

Interfacciamento con memorie Pagina 1 di 9 Interfacciamento con memorie Pagina 1 di 9 Supponiamo di voler interfacciare il microprocessore con un chip di memoria RAM da 2 Kbyte in modo che le 2048 locazioni del chip occupino i primi 2048 indirizzi

Dettagli

Invio SMS. DM Board ICS Invio SMS

Invio SMS. DM Board ICS Invio SMS Invio SMS In questo programma proveremo ad inviare un SMS ad ogni pressione di uno dei 2 tasti della DM Board ICS. Per prima cosa creiamo un nuovo progetto premendo sul pulsante (Create new project): dove

Dettagli

Manuale Utente Albo Pretorio GA

Manuale Utente Albo Pretorio GA Manuale Utente Albo Pretorio GA IDENTIFICATIVO DOCUMENTO MU_ALBOPRETORIO-GA_1.4 Versione 1.4 Data edizione 04.04.2013 1 TABELLA DELLE VERSIONI Versione Data Paragrafo Descrizione delle modifiche apportate

Dettagli

Misure di frequenza e di tempo

Misure di frequenza e di tempo Misure di frequenza e di tempo - 1 Misure di frequenza e di tempo 1 - Contatori universali Schemi e circuiti di riferimento Per la misura di frequenza e di intervalli di tempo vengono diffusamente impiegati

Dettagli

Modulo plug&play MKMB-3-e-3. Interfaccia Modbus/RTU per contatori Iskraemeco MT831 / MT860

Modulo plug&play MKMB-3-e-3. Interfaccia Modbus/RTU per contatori Iskraemeco MT831 / MT860 Modulo plug&play MKMB-3-e-3 Interfaccia Modbus/RTU per contatori Iskraemeco MT831 / MT860 Informazioni generali Il modulo MKMB-3-e-3 realizza un interfaccia seriale RS485 con protocollo Modbus/RTU. Limitazioni

Dettagli

MANUALE D'USO DEL PROGRAMMA IMMOBIPHONE

MANUALE D'USO DEL PROGRAMMA IMMOBIPHONE 1/6 MANUALE D'USO DEL PROGRAMMA IMMOBIPHONE Per prima cosa si ringrazia per aver scelto ImmobiPhone e per aver dato fiducia al suo autore. Il presente documento istruisce l'utilizzatore sull'uso del programma

Dettagli

GHPPEditor è un software realizzato per produrre in modo rapido e guidato un part program per controlli numerici Heidenhain.

GHPPEditor è un software realizzato per produrre in modo rapido e guidato un part program per controlli numerici Heidenhain. *+33(GLWRU GHPPEditor è un software realizzato per produrre in modo rapido e guidato un part program per controlli numerici Heidenhain. Il programma si basa su un architettura di tasti funzionali presenti

Dettagli

NUOVA PROCEDURA COPIA ED INCOLLA PER L INSERIMENTO DELLE CLASSIFICHE NEL SISTEMA INFORMATICO KSPORT.

NUOVA PROCEDURA COPIA ED INCOLLA PER L INSERIMENTO DELLE CLASSIFICHE NEL SISTEMA INFORMATICO KSPORT. NUOVA PROCEDURA COPIA ED INCOLLA PER L INSERIMENTO DELLE CLASSIFICHE NEL SISTEMA INFORMATICO KSPORT. Con l utilizzo delle procedure di iscrizione on line la società organizzatrice ha a disposizione tutti

Dettagli

Excel. A cura di Luigi Labonia. e-mail: luigi.lab@libero.it

Excel. A cura di Luigi Labonia. e-mail: luigi.lab@libero.it Excel A cura di Luigi Labonia e-mail: luigi.lab@libero.it Introduzione Un foglio elettronico è un applicazione comunemente usata per bilanci, previsioni ed altri compiti tipici del campo amministrativo

Dettagli

Appunti di informatica. Lezione 6 anno accademico 2015-2016 Mario Verdicchio

Appunti di informatica. Lezione 6 anno accademico 2015-2016 Mario Verdicchio Appunti di informatica Lezione 6 anno accademico 2015-2016 Mario Verdicchio RAM disco La RAM è basata su dispositivi elettronici, che funzionano con tempi molto rapidi, ma che necessitano di alimentazione

Dettagli

Memoria secondaria. Architettura dell elaboratore. Memoria secondaria. Memoria secondaria. Memoria secondaria

Memoria secondaria. Architettura dell elaboratore. Memoria secondaria. Memoria secondaria. Memoria secondaria Architettura dell elaboratore Capacità di memorizzazione dei dispositivi di memoria: Memoria centrale attualmente si arriva ad alcuni GB centinaia di GB o TB Memoria principale e registri: volatilità,

Dettagli

Il database management system Access

Il database management system Access Il database management system Access Corso di autoistruzione http://www.manualipc.it/manuali/ corso/manuali.php? idcap=00&idman=17&size=12&sid= INTRODUZIONE Il concetto di base di dati, database o archivio

Dettagli

Procedure di ripristino del sistema.

Procedure di ripristino del sistema. Procedure di ripristino del sistema. Procedura adatta a sistemi con sistema operativo Microsoft Windows 8.1 In questo manuale verranno illustrate tutte le procedure che potrete utilizzare per creare dei

Dettagli

Capitolo 2. Operazione di limite

Capitolo 2. Operazione di limite Capitolo 2 Operazione di ite In questo capitolo vogliamo occuparci dell operazione di ite, strumento indispensabile per scoprire molte proprietà delle funzioni. D ora in avanti riguarderemo i domini A

Dettagli

Banca dati Professioniste in rete per le P.A. Guida all uso per le Professioniste

Banca dati Professioniste in rete per le P.A. Guida all uso per le Professioniste Banca dati Professioniste in rete per le P.A. Guida all uso per le Professioniste versione 2.1 24/09/2015 aggiornamenti: 23-set-2015; 24-set-2015 Autore: Francesco Brunetta (http://www.francescobrunetta.it/)

Dettagli

CPU. Maurizio Palesi

CPU. Maurizio Palesi CPU Central Processing Unit 1 Organizzazione Tipica CPU Dispositivi di I/O Unità di controllo Unità aritmetico logica (ALU) Terminale Stampante Registri CPU Memoria centrale Unità disco Bus 2 L'Esecutore

Dettagli

Reti logiche e componenti di un elaboratore

Reti logiche e componenti di un elaboratore FONDAMENTI DI INFORMATICA Ing. Davide PIERATTONI Facoltà di Ingegneria Università degli Studi di Udine Reti logiche e componenti di un elaboratore 2000-2007 P.L. Montessoro - D. Pierattoni (cfr. nota di

Dettagli

introduzione I MICROCONTROLLORI

introduzione I MICROCONTROLLORI introduzione I MICROCONTROLLORI Definizione Un microcontrollore è un dispositivo elettronico programmabile Può svolgere autonomamente diverse funzioni in base al programma in esso implementato Non è la

Dettagli