Esercizi proposti durante le lezioni di Elettronica 2

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Esercizi proposti durante le lezioni di Elettronica 2"

Transcript

1 Rev.13/3/2014 Esercizi proposti durante le lezioni di Elettronica 2 1. Ad un semplice integratore RC (R=10 kω, C=100 nf) viene applicato in ingresso un segnale ad onda quadra (duty-cycle 50%) proveniente da una sorgente di tensione con impedenza interna di 10 Ω. Disegnare in modo quantitativo la forma d onda (a regime) del segnale di uscita. Considerare i 4 casi relativi ad un ingresso unipolare 0-5 V, oppure bipolare +/- 5 V, e semiperiodo di 1 ms oppure 10 ms. 2. Ripetere l esercizio precedente per un derivatore realizzato con gli stessi componenti. 3. Ripetere l esercizio precedente considerando un circuito passivo proporzionale-integratore (R1=R2=10 kω, C=100 nf) 4. Ad un semplice derivatore RL (R=1 kω, L=1 mh) viene applicato in ingresso un segnale unipolare ad onda quadra (0-5 V, duty-cycle 50%, semiperiodo pari a 10 µs) proveniente da una sorgente di tensione con impedenza interna di 10 Ω. Determinare l andamento quantitativo del segnale di uscita dal momento in cui il segnale viene applicato. 5. Implementare la funzione logica (A+B)(C+D) per un sistema con quattro interruttori di ingresso e un relé a 24 VDC in uscita (la resistenza della bobina è di 1000 Ω). Utilizzare solo diodi e resistori. 6. Progettare un attenuatore variabile, con dinamica 10:1, per un segnale RF ampio 500 µvpp. 7. Progettare un commutatore a stato solido pilotato da un comando digitale bipolare (-5/+5 V), per collegare due antenne riceventi VHF ad un unico cavo coassiale. Il comando a -5 volt deve collegare solo la prima antenna al cavo, e il comando a +5 volt solo la seconda. 8. Per l esercizio precedente valutare la possibilità di ottenere lo stesso funzionamento utilizzando un comando unipolare. 9. Un trasformatore per alta tensione fornisce al suo secondario una tensione alternata di valore efficace pari a circa 10 kv, ed è in grado si erogare una corrente di 10 ma. Progettare il circuito raddrizzatore, ad una semionda, e il relativo filtro capacitivo. 10. Una sorgente di segnale, per un circuito di controllo elettronico alimentato a 3.3 V, ha una impedenza interna di 10 kω e una normale escursione della tensione di uscita compresa tra 0 e 3 V. A causa di accidentali rumori e fluttuazioni, tale tensione può assumere, per intervalli non superiori a 100 ms, valori negativi fino a -5 V o positivi fino a 10 V. Condizionare il segnale in modo da proteggere l'ingresso del circuito di controllo. 11. Un trasformatore con ingresso a 220 V e uscita a 12 V (valore efficace) viene utilizzato per misurare con precisione la frequenza di rete per mezzo di un frequenzimetro digitale avente ingresso TTL (0-5 V). Definire il circuito di condizionamento del segnale. 12. Un indicatore di livello per un amplificatore audio è costituito da uno strumento a bobina mobile con impedenza di 1 kω, una costante di tempo meccanica di 0.2 s e una tensione di fondo scala di 10 V. Ad esso viene inviato un segnale audio unipolare con banda compresa tra 20 Hz e 20 khz e valori compresi tra 0 e 8 V. Condizionare il segnale affinché lo strumento possa velocemente indicarne il valore di picco, mantenendo l'indicazione (approssimata) per almeno 2 secondi.

2 13. Un elettrocardiografo genera un segnale a bassa impedenza, bipolare e ad alto livello, compreso tra 3 e +5 V, con una banda compresa tra 0.5 e 50 Hz. Condizionare il segnale affinché esso possa essere applicato ad un registratore a carta che necessita di un segnale unipolare e non superiore a 10 V. L impedenza di ingresso del registratore è di 100 kω. 14. Un fotodiodo ha una corrente inversa al buio pari a 1 µa, una responsivity di 0.3 A/W e una capacità di barriera di 50 pf. Determinare il valore della resistenza di polarizzazione necessario per ottenere un segnale ampio circa 1 V quando il fotodiodo è illuminato con un segnale ottico modulato ON/OFF, avente potenza ottica di 100 µw durante i periodi ON. Stimare anche la massima velocità di modulazione ammissibile per il segnale ottico, per la resistenza calcolata. 15. Definire un espansore di scala per un voltmetro con impedenza di 5 kω e fondo scala di 10 V, utilizzato per misurare la tensione di un accumulatore nominalmente di 24 V, ma tipicamente compresa tra 20 e 28 V. 16. La bobina di un invertitore a relé ha una resistenza di 1 kω e il contatto di uscita (in chiusura verso massa) è collegato a 12 V tramite un resistore da 100 Ω. Assumendo per questa famiglia logica V ih = 7 V e V il = 4V, calcolare il valore di fan-out per uscita alta e il margine di rumore in funzione del numero di ingressi collegati all uscita. 17. Un invertitore RTL impiega un transistore 2N2222 il cui collettore è collegato alla alimentazione (5V) tramite un resistore da 10 kω. La base è collegata a massa con un resistore da 1 MΩ e alla alimentazione con un resistore da 100 kω. Per questa ipotetica famiglia definire i livelli logici e i valori di fan-out. Ripetere l esercizio assumendo che l uscita sia a collettore aperto. Calcolare la potenza dissipata dalla porta nei due casi, in funzione del numero n di porte collegate all uscita (n max = fan-out). 18. Un invertitore RTL impiega un transistore MOS BS107 il cui drain è collegato alla alimentazione (5V) tramite un resistore da 10 kω. Il gate è collegato alla alimentazione con un resistore da 1 MΩ. Per questa ipotetica famiglia definire i livelli logici e i valori di fan-out in funzione della frequenza massima di commutazione. 19. Studiare il data-sheet del transistore MOS BS107, con particolare attenzione al tempo di commutazione. 20. Calcolare il numero di quadrati necessario per realizzare un resistore integrato di valore 10 kω utilizzando una ipotetica diffusione p a gradiente lineare tra 2*10 16 cm -3 in superficie e 5*10 15 cm -3 alla giunzione con il substrato n (alla profondità di 2 µm dalla superficie). Il substrato è di tipo n, con un drogaggio uniforme di 2 * cm Un traslatore di livello digitale, con ingresso 0-5 V e uscita 0-12 V, utilizza un transistore NMOS BS107 e un resistore di drain da 10 kω. L uscita del traslatore è collegata agli ingressi di 10 porte invertenti, in logica 0-12 V, utilizzanti lo stesso transistore. Stimare il massimo valore di clock utilizzabile. 22. Un invertitore NMOS alimentato a 9 V è realizzato per mezzo di un BS107 con carico in configurazione pseudo-nmos. Assumendo che il carico sia costituito da un transistore PMOS avente caratteristiche analoghe a quelle del BS107 (opportunamente modificate nei segni delle grandezze elettriche), tracciare la curva di carico dell invertitore. 23. Un invertitore CMOS alimentato a 18 V è realizzato utilizzando i due transistori descritti nell esercizio precedente. Determinare, in forma grafica o numerica, l energia dissipata dalla porta quando al suo ingresso viene inviato un segnale a gradino con tempo di salita di 1 µs, trascurando tutte le capacità. Ripetere per una tensione di alimentazione di 5 V. 24. Descrivere il funzionamento di una porta di trasmissione MOS.

3 25. Un segnale TTL deve essere usato per pilotare una piccola lampadina al neon avente tensione di innesco di 80 V e tensione di mantenimento di 40 V. La corrente della lampadina deve essere compresa tra 2 e 5 ma. Dimensionare il buffer di pilotaggio della lampadina. 26. Per una porta NAND in tecnologia DTL, realizzata con transistore 2N2222, diodi 1N4148 e alimentata a 5 V, calcolare i valori di R B ed R C per un fan-out pari a Determinare la percentuale di riduzione del tempo di salita della corrente di collettore nella risposta al gradino di un transistore bipolare, in funzione dell entità del sovracomando di base. 28. Dimensionare il circuito acceleratore per il pilotaggio di un invertitore realizzato con transistore 2N2222 e resistenza di collettore pari a 1 kω (collegata a +5 V). ll segnale di ingresso, è costituito da un onda quadra tra 0 e 5 V con periodo di 10 µs. 29. Utilizzando transistori 2N2222 e 2N2907 e disponendo di un alimentatore a 12 V, realizzare una cella in logica I 2 L che implementi un circuito NAND a tre ingressi compatibile TTL. 30. Descrivere il funzionamento di un invertitore BiCMOS. 31. Per un circuito differenziale ECL tipico, verificare che i valori dei componenti indicati garantiscano il ripristino di livelli di tensione di uscita uguali a quelli di ingresso. Sostituire poi il generatore di corrente con un resistore da 12 kω ed eventualmente modificare i valori degli altri resistori affinché i livelli di uscita rimangano compatibili con quelli di ingresso. 32. Definire un circuito di interfaccia tra logica TTL ed ECL e tra ECL e TTL, assumendo che l alimentazione ECL sia negativa rispetto a massa. 33. In un amplificatore invertente, realizzato con operazionale, viene misurata una amplificazione di 10 quando l ingresso non invertente dell operazionale viene collegato a massa. Ricavare la relazione V u /V i per lo stesso circuito nel caso in cui l uscita sia reazionata verso l ingresso non invertente con un partitore resistivo di valore ½. 34. Utilizzando un comparatore con isteresi, progettare un semplice regolatore ON/OFF per un bagno termostatico avente le seguenti caratteristiche: - segnale di uscita del sensore di temperature di valore T/20 (con T in C); - temperatura regolabile con un potenziometro tra 35 e 40 C; - isteresi di 1 C. 35. Dimensionare un semplice oscillatore ad onda quadra a frequenza di 100 khz utilizzando un invertitore Schmitt trigger di tipo 74C Dimensionare lo stesso oscillatore dell esercizio precedente ma con un segnale di uscita avente un duty-cycle del 20%. 37. Progettare un semplice circuito in grado di accendere un LED per un secondo, dopo 5 secondi dal rilascio di un pulsante. 38. Utilizzare uno Schmitt trigger per realizzare un semplice VCO con uscita a onda quadra e frequenza tra 1000 e Hz in corrispondenza ad un segnale di controllo analogico variabile tra 1 e 10 V. 39. Utilizzando il circuito AD585, realizzare i seguenti dispositivi di S&H: - S&H con guadagno +2; - S&H con guadagno -1; - S&H con guadagno +1 e droop di 50 mv/s.

4 40. Un segnale sinusoidale ampio 5 V pp deve essere campionato per essere acquisito in forma numerica. Il circuito di S&H utilizzato ha un tempo di acquisizione di 50 µs, impiegando un condensatore di tenuta da 1000 pf. Le correnti di fuga del S&H e dello stadio buffer che segue il condensatore risultano complessivamente pari a 200 na, entranti nel condensatore. Il convertitore A/D che segue il circuito di S&H ha un tempo di conversione di 200 µs. Determinare la massima frequenza del segnale utilmente applicabile all ingresso del circuito e la risoluzione richiesta al convertitore A/D (numero di bit da usare per la conversione). Trascurare il fenomeno della iniezione di carica. 41. Per il circuito dell esercizio precedente verificare la compatibilità tra la risoluzione calcolata e l iniezione di carica nel circuito di S&H, assumendo che questa sia pari a 100 pc. 42. Progettare un circuito attenuatore programmabile con due bit, per impieghi a frequenze audio, avente Z in, Z out 0 e alimentazione a ±12V. Le attenuazioni possibili devono essere :1, :2, :5, :10, con una frequenza di lavoro massima di 10 khz e massima ampiezza del segnale di ingresso pari a 5 V pp. 43. Progettare un multiplexer analogico avente le seguenti specifiche: - 4 ingressi analogici e una uscita, per segnali di valore compreso tra 2 e +2 V; - selezione digitale, a 2 bit, dell ingresso connesso all uscita, TTL compatibile. 44. Implementare la funzione richiesta nell esercizio 12 tramite un diodo ideale realizzato con un switch analogico. 45. Progettare un circuito con operazionale per amplificare un segnale avente valore compreso tra 80 e 100 mv proveniente da un sensore di temperatura ambientale di precisione,. E richiesto un valore di amplificazione pari a 50 e una totale assenza di errore dovuto all offset dell operazionale (suggerimento: eliminare l errore di offset per mezzo di switch analogici). 46. Un segnale audio avente ampiezza massima di 2 V pp e dinamica di 60 db deve essere digitalizzato. Determinare la precisione richiesta per il convertitore A/D. 47. Per il segnale audio dell esercizio precedente si assuma di aver scelto un ADC avente una risoluzione di 10 mv. Valutare se con tale convertitore è opportuno un ulteriore condizionamento del segnale di ingresso. 48. Per un DAC a resistori pesati si vuole impiegare un generatore di tensione di riferimento avente resistenza interna pari a 1 Ω. Assumendo di utilizzare resistori di valore pari ad 1 kω e suoi multipli, e che questi siano assolutamente precisi, valutare per quale massimo numero di bit è ragionevole realizzare il DAC (assumere che i DAC abbiano i switch orientati n modo da rendere compensabile l offset dell operazionale di uscita). 49. Per DAC a 10 bit calcolare la precisione richiesta ad ognuno dei resistori, sia per una implementazione con resistori pesati sia per una struttura R-2R. 50. Il convertitore AD557 impiega tecnologia I 2 L per il latch di ingresso. Definire una possibile struttura elettrica del percorso seguito da un bit, dall ingresso TTL al switch del DAC. 51. Il comando di volume di un amplificatore audio richiede un potenziometro lineare da 100 kω con cursore posizionabile in 32 punti del potenziometro. La manopola di volume dell amplificatore è collegata ad un disco avente 40 piccoli fori sulla sua periferia. I centri dei fori sono tra loro spaziati del doppio del diametro dei fori. Da un lato del disco, in corrispondenza dei fori, è posto un LED. Dall altro lato del disco, di fronte al LED, sono posti due fotodiodi affiancati. La distanza laterale tra i due fotodiodi è pari a metà del diametro di un foro. Il disco, ruotando, intercetta la luce emessa dal LED verso i fotodiodi.

5 Definire la posizione dei fotodiodi e il circuito completo del potenziometro, assumendo che un fotodiodo totalmente illuminato generi una corrente di 100 µa. 52. Un servoconvertitore A/D a 12 bit è costituito da un comparatore di ingresso avente un slew rate di 1V/µs, da un contatore up/down in tecnologia TTLS e da un DAC con convertitore corrente-tensione avente un tempo di assestamento di 500 ns (entro 0.01 del valore di regime). Valutare il valore massimo di frequenza del segnale di ingresso che il convertitore è in grado di agganciare e la relativa frequenza di clock da impiegare. Il segnale di ingresso può assumere valori compresi tra 5 e +5 V. 53. Tracciare il diagramma di flusso di un programma da implementare su un microcontrollore per la gestione di un convertitore A/D con reazione a gradini. 54. Ipotizzando di implementare la parte logica di un convertitore SAR per mezzo di un microcontrollore, definire il diagramma di flusso del relativo programma, includendo sia la gestione del S&H, sia del protocollo di uscita seriale RS Il segnale generato da un sensore è variabile tra 5 e +5 volt ed ha una banda di frequenza compresa tra 0 e 100 Hz. Il segnale deve essere digitalizzato a 10 bit con la tecnica della doppia integrazione. Progettare un circuito ADC completo, assumendo di disporre di un contatore CMOS a 12 bit. 56. Uno scintillatore per applicazioni nucleari impiega un fotomoltiplicatore in configurazione integratrice. Gli impulsi generati dallo strumento hanno ampiezza variabile tra 100 mv e 2 V. Definire: (1) la struttura di un analizzatore multicanale in grado di discriminare l ampiezza del segnale in un campo di 20 valori; (2) il diagramma di flusso di un programma in grado di gestire l analizzatore e di presentare in forma matriciale (adatta alla visualizzazione tramite istogrammi) la distribuzione di ampiezza degli impulsi. 57. La velocità di un piccolo motore in corrente continua deve essere controllata da un segnale analogico compreso tra 1 V (veloc. Minima) e 6 V (veloc. massima). In corrispondenza a tale escursione di valori la corrente del motore deve variare tra 100 e 600 ma. Per motivi di efficienza energetica, la corrente nel motore viene modulata in PWM, per mezzo di un VCO digitale realizzato con un integrato di tipo 555. Definire il circuito completo del controllore, dall ingresso analogico di tensione all uscita in corrente a duty-cycle variabile. 58. Un canale di trasmissione digitale consente l invio fedele di impulsi la cui larghezza minima non sia inferiore a 1 µs. Progettare un modulatore Delta (ADC) e il relativo ricevitore (DAC) adatti al canale di trasmissione disponibile, indicando la massima velocità di variazione ammissibile (V/µs) per il segnale analogico di ingresso. Si assuma che tale segnale possa variare tra 5 e +5 volt, e si imponga un errore di quantizzazione non superiore a 100 mv. 59. Progettare un circuito di controllo smart (a 2 stati o PWM) per un relé alimentato a 24 V, con resistenza della bobina di 100 Ω e induttanza di 50 mh. Assumere una variazione di induttanza, alla commutazione, di un fattore 2. Il relé deve essere attivato in corrispondenza ad un livello alto TTL. 60. Un regolatore di velocità per un motore in corrente continua è controllato da un microprocessore con bus di I/O a 8 bit, con livelli TTL. Si assuma che il motore abbia una velocità massima di 20 giri/s quando è attraversato da una corrente di 10 A. Il motore è dotato di una dinamo tachimetrica la cui tensione di uscita vale v/10, dove v è la velocità, in giri/s. La resistenza dell avvolgimento del motore e di 4 Ω. (1) Progettare una interfaccia in grado di controllare su 256 livelli la velocità del motore. (2) Tracciare un grafico della efficienza energetica del regolatore, in funzione della velocità. (3) Valutare la possibilità di controllo PWM della corrente nel motore, per minimizzare la perdita di energia nel regolatore.

6 61. Definire la struttura logica di un contatore per 7 e di un divisore di frequenza per 12 con uscita simmetrica. 62. Utilizzare un DIAC per generare segnali a dente di sega esponenziale avente frequenza di 500 Hz. Il DIAC ha V BR = 100 V, I Amax = 10, I hold = 20 ma.

Circuiti amplificatori

Circuiti amplificatori Circuiti amplificatori G. Traversi Strumentazione e Misure Elettroniche Corso Integrato di Elettrotecnica e Strumentazione e Misure Elettroniche 1 Amplificatori 2 Amplificatori Se A V è negativo, l amplificatore

Dettagli

CONVERTITORI DIGITALE/ANALOGICO (DAC)

CONVERTITORI DIGITALE/ANALOGICO (DAC) CONVERTITORI DIGITALE/ANALOGICO (DAC) Un convertitore digitale/analogico (DAC: digital to analog converter) è un circuito che fornisce in uscita una grandezza analogica proporzionale alla parola di n bit

Dettagli

ELETTRONICA. L amplificatore Operazionale

ELETTRONICA. L amplificatore Operazionale ELETTRONICA L amplificatore Operazionale Amplificatore operazionale Un amplificatore operazionale è un amplificatore differenziale, accoppiato in continua e ad elevato guadagno (teoricamente infinito).

Dettagli

ANNO SCOLASTICO 1999-2000 PROPOSTE PER I PROGRAMMI E LA PIANIFICAZIONE DEL CORSO DI TECNOLOGIA, DISEGNO E PROGETTAZIONE

ANNO SCOLASTICO 1999-2000 PROPOSTE PER I PROGRAMMI E LA PIANIFICAZIONE DEL CORSO DI TECNOLOGIA, DISEGNO E PROGETTAZIONE ANNO SCOLASTICO 1999-2000 PROPOSTE PER I PROGRAMMI E LA PIANIFICAZIONE DEL CORSO DI TECNOLOGIA, DISEGNO E PROGETTAZIONE PER LE CLASSI DEL CORSO C ELETTRONICA Insegnanti: Ulderico Libertini Ivano Graziani

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n. 21 - E - 3:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n. 21 - E - 3: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 21 - E - 3: Generatore di onda quadra e impulsi Interfacciamento con circuiti logici

Dettagli

Elettronica I - Laboratorio Didattico - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA

Elettronica I - Laboratorio Didattico - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA Elettronica I - Laboratorio Didattico - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA Generatore di Funzioni T T i - TG2000 Generatore di Funzioni T T i - TG2000 Genera i segnali di tensione Uscita

Dettagli

Ambiente di apprendimento

Ambiente di apprendimento ELETTROTECNICA ED ELETTRONICA MAIO LINO, PALUMBO GAETANO 3EET Settembre novembre Saper risolvere un circuito elettrico in corrente continua, e saperne valutare i risultati. Saper applicare i teoremi dell

Dettagli

Basetta per misure su amplificatori

Basetta per misure su amplificatori Basetta per misure su amplificatori Per le misure viene utilizzata una basetta a circuito stampato premontata, che contiene due circuiti (amplificatore invertente e noninvertente). Una serie di interruttori

Dettagli

(25 min) Esercizio 1. 1a) Vedi libro e appunti del corso.

(25 min) Esercizio 1. 1a) Vedi libro e appunti del corso. (5 min) Esercizio 1 1) Con una scheda di acquisizione dati, con dinamica d ingresso bipolare, si devono misurare i seguenti segnali su un circuito: V 1 tensione di alimentazione di una connessione USB

Dettagli

M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE. Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO

M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE. Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO Tema di: ELETTRONICA, TELECOMUNICAZIONI E APPLICAZIONI Il candidato, formulando eventuali

Dettagli

Amplificazione DL 3155M14 DL 3155E14. Circuiti Amplificatori a Transistor AMPLIFICAZIONE. Blocchi funzionali. Argomenti teorici.

Amplificazione DL 3155M14 DL 3155E14. Circuiti Amplificatori a Transistor AMPLIFICAZIONE. Blocchi funzionali. Argomenti teorici. Amplificazione Amplificazione lineare di corrente, tensione e potenza Amplificatori BJT: configurazioni EC, CC e BC Stabilità termica di un amplificatore lineare Linea di carico statica e dinamica Pre-amplificatori

Dettagli

ESERCIZI - SERIE N.1

ESERCIZI - SERIE N.1 ESERCIZI - SERIE N.1 ACQUISIZIONE DELLO STATO DI SEGNALI ON/OFF Problema: acquisizione, da parte di un'unità di elaborazione realizzata con tecnologia a funzionalità programmata, di un'informazione proveniente

Dettagli

Capitolo 2 Tecnologie dei circuiti integrati 33

Capitolo 2 Tecnologie dei circuiti integrati 33 Indice Prefazione XIII Capitolo 1 Circuiti digitali 1 1.1 Introduzione 1 1.2 Discretizzazione dei segnali 4 1.3 L invertitore ideale 6 1.4 Porte logiche elementari 6 1.4.1 Porte elementari come combinazioni

Dettagli

Elettronica delle Telecomunicazioni Esercizi cap 2: Circuiti con Ampl. Oper. 2.1 Analisi di amplificatore AC con Amplificatore Operazionale reale

Elettronica delle Telecomunicazioni Esercizi cap 2: Circuiti con Ampl. Oper. 2.1 Analisi di amplificatore AC con Amplificatore Operazionale reale 2. Analisi di amplificatore AC con Amplificatore Operazionale reale Un amplificatore è realizzato con un LM74, con Ad = 00 db, polo di Ad a 0 Hz. La controreazione determina un guadagno ideale pari a 00.

Dettagli

ELETTRONICA II. Circuiti misti analogici e digitali 2. Riferimenti al testo. Prof. Dante Del Corso - Politecnico di Torino

ELETTRONICA II. Circuiti misti analogici e digitali 2. Riferimenti al testo. Prof. Dante Del Corso - Politecnico di Torino ELETTRONICA II Circuiti misti analogici e digitali 2 Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 20 - E - 2: Oscillatori e generatori di segnale

Dettagli

SENSORI E TRASDUTTORI

SENSORI E TRASDUTTORI SENSORI E TRASDUTTORI Il controllo di processo moderno utilizza tecnologie sempre più sofisticate, per minimizzare i costi e contenere le dimensioni dei dispositivi utilizzati. Qualsiasi controllo di processo

Dettagli

M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE CORSO DI ORDINAMENTO. Indirizzo: ELETTRONICA E TELECOMUNICAZIONI

M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE CORSO DI ORDINAMENTO. Indirizzo: ELETTRONICA E TELECOMUNICAZIONI M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTIALE COSO DI ODINAMENTO Indirizzo: ELETTONICA E TELECOMUNICAZIONI Tema di: ELETTONICA (Testo valevole per i corsi di ordinamento e per i corsi del progetto

Dettagli

ELETTRONICA Tema di Sistemi elettronici automatici Soluzione

ELETTRONICA Tema di Sistemi elettronici automatici Soluzione ELETTRONICA Tema di Sistemi elettronici automatici Soluzione La traccia presenta lo sviluppo di un progetto relativo al monitoraggio della temperatura durante un processo di produzione tipico nelle applicazione

Dettagli

Introduzione all analisi dei segnali digitali.

Introduzione all analisi dei segnali digitali. Introduzione all analisi dei segnali digitali. Lezioni per il corso di Laboratorio di Fisica IV Isidoro Ferrante A.A. 2001/2002 1 Segnali analogici Si dice segnale la variazione di una qualsiasi grandezza

Dettagli

Programmazione modulare

Programmazione modulare Programmazione modulare Indirizzo: ELETTROTECNICA ED ELETTRONICA Disciplina: ELETTROTECNICA ED ELETTRONICA Docenti: Erbaggio Maria Pia e Iannì Gaetano Classe: IV A e settimanali previste: 6 Prerequisiti

Dettagli

Esami di Stato 2008 - Soluzione della seconda prova scritta. Indirizzo: Elettronica e Telecomunicazioni Tema di ELETTRONICA

Esami di Stato 2008 - Soluzione della seconda prova scritta. Indirizzo: Elettronica e Telecomunicazioni Tema di ELETTRONICA Risposta al quesito a Esami di Stato 2008 - Soluzione della seconda prova scritta Indirizzo: Elettronica e Telecomunicazioni Tema di ELETTRONICA (A CURA DEL PROF. Giuseppe SPALIERNO docente di Elettronica

Dettagli

2 Qual è il guadagno totale di due stadi amplificatori da 6 db e da 3 db : A 4,5 db B 9 db C 6 db

2 Qual è il guadagno totale di due stadi amplificatori da 6 db e da 3 db : A 4,5 db B 9 db C 6 db 3.- CIRCUITI 3.1.- Combinazione dei componenti: Circuiti in serie e in parallelo di resistori, bobine, condensatori, trasformatori e diodi - Corrente e tensione nei circuiti Impedenza. 3.2.- Filtri: Filtri

Dettagli

Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo

Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo SCH 33 Voltmetro a 3 digit Obiettivi Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo IC1 = CA 3162 A/D converter for 3-Digit Display IC2 = CA 3161 BCD to seven segment

Dettagli

MISURATORE DIGITALE MODELLO M890G

MISURATORE DIGITALE MODELLO M890G MISURATORE DIGITALE MODELLO M890G MANUALE D ISTRUZIONE I II INTRODUZIONE Il misuratore digitale M890G può essere usato per misurare tensioni e corrente DC, tensioni e corrente AC, resistenza, capacità,

Dettagli

Gli attuatori. Breve rassegna di alcuni modelli o dispositivi di attuatori nel processo di controllo

Gli attuatori. Breve rassegna di alcuni modelli o dispositivi di attuatori nel processo di controllo Gli attuatori Breve rassegna di alcuni modelli o dispositivi di attuatori nel processo di controllo ATTUATORI Definizione: in una catena di controllo automatico l attuatore è il dispositivo che riceve

Dettagli

Sensori a effetto Hall bipolari con ritenuta stabilizzati e non stabilizzati con circuito chopper

Sensori a effetto Hall bipolari con ritenuta stabilizzati e non stabilizzati con circuito chopper Sensori a effetto Hall bipolari con ritenuta stabilizzati e non stabilizzati con circuito chopper I risultati dei test mostrano che è possibile ottenere prestazioni significativamente maggiori impiegando

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE DI BARONISSI IND. TECNICO INDUSTRIALE INFORMATICA E TELECOMUNICAZIONI. Programmazione A. S. 2012-2013 ELETTRONICA

ISTITUTO ISTRUZIONE SUPERIORE DI BARONISSI IND. TECNICO INDUSTRIALE INFORMATICA E TELECOMUNICAZIONI. Programmazione A. S. 2012-2013 ELETTRONICA Classi quarte 1. Reti elettriche in a. c. Periodo: settembre/ottobre novembre/dicembre ore 60 1. La funzione sinusoidale. 2. Rappresentazione vettoriale della grandezze sinusoidali. 3. I componenti passivi

Dettagli

Controllo di un Motore Elettrico in Corrente Continua

Controllo di un Motore Elettrico in Corrente Continua Controllo di un Motore Elettrico in Corrente Continua ARSLAB - Autonomous and Robotic Systems Laboratory Dipartimento di Matematica e Informatica - Università di Catania, Italy santoro@dmi.unict.it Programmazione

Dettagli

Appendice Circuiti con amplificatori operazionali

Appendice Circuiti con amplificatori operazionali Appendice Circuiti con amplificatori operazionali - Appendice Circuiti con amplificatori operazionali - L amplificatore operazionale Il componente ideale L amplificatore operazionale è un dispositivo che

Dettagli

ELETTRONICA II. Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe. Politecnico di Torino

ELETTRONICA II. Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe. Politecnico di Torino ELETTRONICA II Lezioni: Prof. Dante Del Corso Prof. Pierluigi Civera Esercitazioni e laboratorio: Ing. Claudio Sansoe Politecnico di Torino Lezioni Gruppo B rev 7 Elettronica II - Dante Del Corso - Gruppo

Dettagli

Convertitore analogico digitale (ADC) a n bit riceve in ingresso un segnale analogico e lo codifica in un segnale digitale a n bit

Convertitore analogico digitale (ADC) a n bit riceve in ingresso un segnale analogico e lo codifica in un segnale digitale a n bit ingressi analogici Conversione A/D Convertitore analogico digitale (ADC) a n bit riceve in ingresso un segnale analogico e lo codifica in un segnale digitale a n bit Ogni codice binario rappresenta il

Dettagli

Esame di Stato 2015. Materia: SISTEMI AUTOMATICI PRIMA PARTE

Esame di Stato 2015. Materia: SISTEMI AUTOMATICI PRIMA PARTE Esame di Stato 2015 Materia: SISTEMI AUTOMATICI PRIMA PARTE Il problema proposto riguarda un sistema di acquisizione dati e controllo. I dati acquisiti sono in parte di natura digitale (misura del grado

Dettagli

Strumenti Digitali. Corso di Misure Elettriche http://sms.unipv.it/misure/

Strumenti Digitali. Corso di Misure Elettriche http://sms.unipv.it/misure/ Strumenti Digitali Corso di Misure Elettriche http://sms.unipv.it/misure/ Piero Malcovati Dipartimento di Ingegneria Industriale e dell Informazione Università di Pavia piero.malcovati@unipv.it Piero Malcovati

Dettagli

MISURE CON L OSCILLOSCOPIO

MISURE CON L OSCILLOSCOPIO MISURE CON L OSCILLOSCOPIO Misure di ampiezza (1/4) Per effettuare misure di ampiezza con l oscilloscopio l di norma si eseguono in sequenza i seguenti passi: 1. Si procede innanzitutto alla predisposizione

Dettagli

Esperimentazioni di Fisica 3 AA 2013-2014. Tracking ADC. M. De Vincenzi

Esperimentazioni di Fisica 3 AA 2013-2014. Tracking ADC. M. De Vincenzi Esperimentazioni di Fisica 3 AA 2013-2014 Tracking ADC M. De Vincenzi 1 Introduzione La digitalizzazione di segnali analogici si realizza tramite dispositivi che vengono detti ADC (acronimo per Analog

Dettagli

Tema di ELETTROTECNICA, ELETTRONICA ED APPLICAZIONI

Tema di ELETTROTECNICA, ELETTRONICA ED APPLICAZIONI ESAME DI STATO Istituto Professionale Industriale Anno 2004 Indirizzo TECNICO INDUSTRIE ELETTRICHE Tema di ELETTROTECNICA, ELETTRONICA ED APPLICAZIONI Un impianto funicolare è alimentato, oltre che dalla

Dettagli

GUIDA ALLE ESERCITAZIONI DI ELETTRONICA DI BASE

GUIDA ALLE ESERCITAZIONI DI ELETTRONICA DI BASE GUIDA ALLE ESERCITAZIONI DI ELETTRONICA DI BASE ELETTRONICA APPLICATA II (DU) Queste note contengono i testi di alcune esercitazioni di laboratorio proposte nel corso di Elettronica Applicata II del Diploma

Dettagli

TRACER218 MODULO DATALOGGER RS485 MODBUS SPECIFICA PRELIMINARE

TRACER218 MODULO DATALOGGER RS485 MODBUS SPECIFICA PRELIMINARE TRACER218 MODULO DATALOGGER RS485 MODBUS SPECIFICA PRELIMINARE V.00 AGOSTO 2012 CARATTERISTICHE TECNICHE Il modulo TRACER218 485 è un dispositivo con porta di comunicazione RS485 basata su protocollo MODBUS

Dettagli

Transitori del primo ordine

Transitori del primo ordine Università di Ferrara Corso di Elettrotecnica Transitori del primo ordine Si consideri il circuito in figura, composto da un generatore ideale di tensione, una resistenza ed una capacità. I tre bipoli

Dettagli

GRMN VCO Rev 0 - Thermidor Technologies - Pagina 1. Note sul dimensionamento dei VCO Per sintetizzatore Germinimal

GRMN VCO Rev 0 - Thermidor Technologies - Pagina 1. Note sul dimensionamento dei VCO Per sintetizzatore Germinimal GRMN VCO Rev 0 - Thermidor Technologies - Pagina 1 Note sul dimensionamento dei VCO Per sintetizzatore Germinimal GRMN VCO Rev 0 - Thermidor Technologies - Pagina 1 Indice INDICE INDICE... 1 1. DESCRIZIONE...

Dettagli

Una scuola vuole monitorare la potenza elettrica continua di un pannello fotovoltaico

Una scuola vuole monitorare la potenza elettrica continua di un pannello fotovoltaico ESAME DI STATO PER ISTITUTI PROFESSIONALI Corso di Ordinamento Indirizzo: Tecnico delle industrie elettroniche Tema di: Elettronica, telecomunicazioni ed applicazioni Gaetano D Antona Il tema proposto

Dettagli

Carica batterie. ADATTATORE 9V PER ACCENDISIGARI Fornisce una tensione di +9V dalla presa accendisigari da 12V dell automobile.

Carica batterie. ADATTATORE 9V PER ACCENDISIGARI Fornisce una tensione di +9V dalla presa accendisigari da 12V dell automobile. SPECIALE 200 PROGETTI Fare Elettronica EXTRA +9V E -9V DA UN UNICA BATTERIA Il circuito presentato impiega un MAX1044, un convertitore di tensione che permette di ricavare da una semplice batteria da 9V

Dettagli

OSCILLATORI AL QUARZO: CONTASECONDI

OSCILLATORI AL QUARZO: CONTASECONDI ... OSCILLATORI AL QUARZO: CONTASECONDI di Maurizio Del Corso m.delcorso@farelettronica.com Come può un cristallo di quarzo oscillare ad una determinata frequenza? Quale spiegazione fisica c è dietro a

Dettagli

Elettronica per le telecomunicazioni

Elettronica per le telecomunicazioni POLITECNICO DI TORINO Elettronica per le telecomunicazioni Relazione di laboratorio Gruppo: A08 Antona Maria Gabriella Matricola: 148211 Degno Angela Rita Matricola: 148155 Fiandrino Claudio Matricola:

Dettagli

E possibile classificazione i trasduttori in base a diversi criteri, ad esempio: Criterio Trasduttori Caratteristiche

E possibile classificazione i trasduttori in base a diversi criteri, ad esempio: Criterio Trasduttori Caratteristiche PREMESSA In questa lezione verranno illustrate la classificazione delle diverse tipologie di trasduttori utilizzati nei sistemi di controllo industriali ed i loro parametri caratteristici. CLASSIFICAZIONE

Dettagli

Nome e Cognome. 2 Calcolare il valore efficace di una tensione sinusoidale con Vmax = 18 V

Nome e Cognome. 2 Calcolare il valore efficace di una tensione sinusoidale con Vmax = 18 V VERIFICA SCRITTA DI ELETTRONICA Classe IVME A. S. 2013/2014 27 ottobre 2013 [1,5 punti per gli esercizi 1-5-7-8; 1 punto per gli esercizio (2, 3, 4, 6)] Nome e Cognome. 1 Calcolare il valore di Vx nel

Dettagli

Prova scritta - 20130905

Prova scritta - 20130905 Parte E-A Elettronica - Domande a risposta multipla (1 punto ogni risposta corretta; -0,5 per risposte errate) Quesito A.1 Per convertire da Analogico a Digitale un segnale che occupa la banda 100-110

Dettagli

Programmazione modulare a. s. 2014-2015

Programmazione modulare a. s. 2014-2015 Programmazione modulare a. s. 201-2015 Indirizzo:Informatica Disciplina : Telecomunicazioni Classe: A B Informatica Ore settimanali previste:3 (di cui 2 di laboratorio) Libro di testo: TELECOMUNICAZIONI-Ambrosini,

Dettagli

Gestione dei segnali analogici nei sistemi di automazione industriale con PLC.

Gestione dei segnali analogici nei sistemi di automazione industriale con PLC. Gestione dei segnali analogici nei sistemi di automazione industriale con PLC. Nelle automazioni e nell industria di processo si presenta spesso il problema di gestire segnali analogici come temperature,

Dettagli

MST_K12_INV. Regolatore di velocita per motori in CC con comando esterno della inversione della rotazione. Manuale d uso e d installazione

MST_K12_INV. Regolatore di velocita per motori in CC con comando esterno della inversione della rotazione. Manuale d uso e d installazione MST_K12_INV Regolatore di velocita per motori in CC con comando esterno della inversione della rotazione Manuale d uso e d installazione INTRODUZIONE Il circuito MST_K12_INV e un semplice regolatore di

Dettagli

Sezione di PWM e Generatore di D/A Converter.

Sezione di PWM e Generatore di D/A Converter. Corso di BASCOM AVR - (34) Corso Teorico/Pratico di programmazione in BASCOM AVR. Autore: DAMINO Salvatore. Sezione di PWM e Generatore di D/A Converter. La struttura interna dei Mini Moduli è composta

Dettagli

DE e DTE: PROVA SCRITTA DEL 26 Gennaio 2015

DE e DTE: PROVA SCRITTA DEL 26 Gennaio 2015 DE e DTE: PROVA SCRITTA DEL 26 Gennaio 2015 ESERCIZIO 1 (DE,DTE) Un transistore bipolare n + pn con N Abase = N Dcollettore = 10 16 cm 3, µ n = 0.09 m 2 /Vs, µ p = 0.035 m 2 /Vs, τ n = τ p = 10 6 s, S=1

Dettagli

Sistema acquisizione dati

Sistema acquisizione dati 12 Sistema acquisizione dati 3.1 Introduzione: Per convertire i segnali analogici trasmessi dai sensori in segnali digitali dobbiamo usare i convertitori analogici digitali o più comunemente chiamati ADC(Analog-to-Digital

Dettagli

Controllo di velocità angolare di un motore in CC

Controllo di velocità angolare di un motore in CC Controllo di velocità angolare di un motore in CC Descrizione generale Il processo è composto da un motore in corrente continua, un sistema di riduzione, una dinamo tachimetrica ed un sistema di visualizzazione.

Dettagli

IL CONTROLLO AUTOMATICO: TRASDUTTORI, ATTUATORI CONTROLLO DIGITALE, ON-OFF, DI POTENZA

IL CONTROLLO AUTOMATICO: TRASDUTTORI, ATTUATORI CONTROLLO DIGITALE, ON-OFF, DI POTENZA IL CONTROLLO AUTOMATICO: TRASDUTTORI, ATTUATORI CONTROLLO DIGITALE, ON-OFF, DI POTENZA TRASDUTTORI In un sistema di controllo automatico i trasduttori hanno il compito di misurare la grandezza in uscita

Dettagli

Misure Elettroniche, Sensori e Trasduttori 1

Misure Elettroniche, Sensori e Trasduttori 1 Università degli Studi di Genova Corso di Laurea in Ingegneria Elettronica (CL) Misure Elettroniche, Sensori e Trasduttori Docente: Prof. Giacomo Mario Bisio Esempi di domande d esame. Struttura e principi

Dettagli

5 Amplificatori operazionali

5 Amplificatori operazionali 5 Amplificatori operazionali 5.1 Amplificatore operazionale: caratteristiche, ideale vs. reale - Di seguito simbolo e circuito equivalente di un amplificatore operazionale. Da notare che l amplificatore

Dettagli

PIANO DI STUDIO DELLA DISCIPLINA DISCIPLINA: ELETTRONICA PIANO DELLE UDA PER LA CLASSE 4IA

PIANO DI STUDIO DELLA DISCIPLINA DISCIPLINA: ELETTRONICA PIANO DELLE UDA PER LA CLASSE 4IA PIANO DI STUDIO DELLA DISCIPLINA DISCIPLINA: ELETTRONICA PIANO DELLE PER LA CLASSE 4IA della n. 1 correnti alternate Ore:20 settembre - ottobre Uso specifico del nella risoluzione dei problemi sia in classe

Dettagli

Introduzione all acquisizione Dati

Introduzione all acquisizione Dati Introduzione all acquisizione Dati Laboratorio di Robotica Industriale Evoluzione della strumentazione Introduzione all acquisizione dati - 2 Trend nella strumentazione Introduzione all acquisizione dati

Dettagli

ISTITUTO TECNICO DEI TRASPORTI E LOGISTICA NAUTICO SAN GIORGIO NAUTICO C.COLOMBO. CLASSE 3 A sez. 3CI

ISTITUTO TECNICO DEI TRASPORTI E LOGISTICA NAUTICO SAN GIORGIO NAUTICO C.COLOMBO. CLASSE 3 A sez. 3CI CLASSE 3 A sez. 3CI MATERIA: Elettrotecnica, laboratorio 1. Contenuti del corso DOCENTI: SILVANO Stefano FERRARO Silvano Unità didattica 1: Gli strumenti di misura Il concetto di misura, errori di misura

Dettagli

ESEMPI APPLICATIVI DI VALUTAZIONE DELL INCERTEZZA NELLE MISURAZIONI ELETTRICHE

ESEMPI APPLICATIVI DI VALUTAZIONE DELL INCERTEZZA NELLE MISURAZIONI ELETTRICHE SISTEMA NAZIONALE PER L'ACCREDITAMENTO DI LABORATORI DT-000/ ESEMPI APPLICATIVI DI VALUTAZIONE DELL INCERTEZZA NELLE MISURAZIONI ELETTRICHE INDICE parte sezione pagina. Misurazione di una corrente continua

Dettagli

SOMMARIO LUCI PSICHEDELICHE LX 749 5AI TIEE 1993-94. IPSIA Moretto Brescia

SOMMARIO LUCI PSICHEDELICHE LX 749 5AI TIEE 1993-94. IPSIA Moretto Brescia SOMMARIO Introduzione... 2 Schema a Blocchi... 2 Blocco Alimentazione.... 2 Blocco Preamplificatore... 2 Blocco Filtri... 2 Blocco di Potenza... 3 Curve di risposta dei tre filtri... 4 Schema Elettrico...

Dettagli

Progetti reali con ARDUINO

Progetti reali con ARDUINO Progetti reali con ARDUINO Introduzione alla scheda Arduino (parte 5ª) giugno 2013 Giorgio Carpignano I.I.S. PRIMO LEVI C.so Unione Sovietica 490 (TO) Materiale didattico: www.iisprimolevi.it Servomotori

Dettagli

bipolari, quando essi, al variare del tempo, assumono valori sia positivi che negativi unipolari, quando essi non cambiano mai segno

bipolari, quando essi, al variare del tempo, assumono valori sia positivi che negativi unipolari, quando essi non cambiano mai segno Parametri dei segnali periodici I segnali, periodici e non periodici, si suddividono in: bipolari, quando essi, al variare del tempo, assumono valori sia positivi che negativi unipolari, quando essi non

Dettagli

Amplificatori Audio di Potenza

Amplificatori Audio di Potenza Amplificatori Audio di Potenza Un amplificatore, semplificando al massimo, può essere visto come un oggetto in grado di aumentare il livello di un segnale. Ha quindi, generalmente, due porte: un ingresso

Dettagli

Conversione analogico digitale

Conversione analogico digitale Conversione analogico digitale L elettronica moderna ha spostato la maggior parte delle applicazioni nel mondo digitale in quanto i sistemi a microprocessore sono diventati più veloci ed economici rispetto

Dettagli

Dipartimento di Fisica Sperimentale Laboratorio di Elettronica

Dipartimento di Fisica Sperimentale Laboratorio di Elettronica Dipartimento di Fisica Sperimentale Laboratorio di Elettronica D.Gamba,P.P.Trapani April 9, 2004 1 1 Circuiti Digitali Integrati: Timer, ADC e DAC In questo Lab. si imparera ad usare alcuni circuiti integrati

Dettagli

Tensioni variabili nel tempo e Oscilloscopio

Tensioni variabili nel tempo e Oscilloscopio ensioni variabili nel tempo e Oscilloscopio RIASSUNO: ensioni variabili e periodiche Ampiezza, valor medio, ed RMS Generatori di forme d onda ensioni sinusoidali Potenza : valore medio e valore efficace

Dettagli

Dispositivo di conversione di energia elettrica per aerogeneratori composto da componenti commerciali.

Dispositivo di conversione di energia elettrica per aerogeneratori composto da componenti commerciali. Sede legale: Viale Vittorio Veneto 60, 59100 Prato P.IVA /CF 02110810971 Sede operativa: Via del Mandorlo 30, 59100 Prato tel. (+39) 0574 550493 fax (+39) 0574 577854 Web: www.aria-srl.it Email: info@aria-srl.it

Dettagli

Azionamenti elettronici PWM

Azionamenti elettronici PWM Capitolo 5 Azionamenti elettronici PWM 5.1 Azionamenti elettronici di potenza I motori in corrente continua vengono tipicamente utilizzati per imporre al carico dei cicli di lavoro, nei quali può essere

Dettagli

INTEGRATORE E DERIVATORE REALI

INTEGRATORE E DERIVATORE REALI INTEGRATORE E DERIVATORE REALI -Schemi elettrici: Integratore reale : C1 R2 vi (t) R1 vu (t) Derivatore reale : R2 vi (t) R1 C1 vu (t) Elenco componenti utilizzati : - 1 resistenza da 3,3kΩ - 1 resistenza

Dettagli

Trasmettitore TX-4M10HA/V

Trasmettitore TX-4M10HA/V Trasmettitore TX-4M1HA/V TX-4M1HA/V Modulo trasmettitore con antenna integrata per applicazioni con modulazione ON-OFF di una portante RF con dati digitali. Pin-out Connessioni Pin 1 TX Dati Ingresso dati

Dettagli

La propagazione della luce in una fibra ottica

La propagazione della luce in una fibra ottica La propagazione della luce in una fibra ottica La rifrazione della luce Consideriamo due mezzi trasparenti alla luce, separati da una superficie piana. Il primo mezzo ha indice di rifrazione n, il secondo

Dettagli

"Convertitore CC/CA per sistemi fotovoltaici connessi alla rete: progetto e realizzazione" Ing. Pierangelo Sandri settembre 2007 siepan@libero.

Convertitore CC/CA per sistemi fotovoltaici connessi alla rete: progetto e realizzazione Ing. Pierangelo Sandri settembre 2007 siepan@libero. Capitolo 6 Collaudo 6.1 Apparecchiature per il collaudo Per poter effettuare tutte le prove necessarie a verificare il corretto funzionamento dell inverter sperimentale si è utilizzata la strumentazione

Dettagli

TRASMETTITORE TX-FM-MID/EN

TRASMETTITORE TX-FM-MID/EN TRASMETTITORE TX-FM-MID/EN Il TX-FM-MID/EN è un modulo trasmettitore di dati digitali con modulazione FSK. PIN-OUT 10 1 CONNESSIONI Pin 1 TX Dati Ingresso dati con resistenza di ingresso di 50 kω minimi.

Dettagli

Punti di saldatura totali: 42 Livello di difficoltà: principiante 1 2 3 4 5 avanzato PREAMPLIFICATORE UNIVERSALE STEREO K2572 ISTRUZIONI DI MONTAGGIO

Punti di saldatura totali: 42 Livello di difficoltà: principiante 1 2 3 4 5 avanzato PREAMPLIFICATORE UNIVERSALE STEREO K2572 ISTRUZIONI DI MONTAGGIO Punti di saldatura totali: 42 Livello di difficoltà: principiante 1 2 3 4 5 avanzato PREAMPLIFICATORE UNIVERSALE STEREO K2572 Amplificatore a basso rumore ideale per piccoli segnali ISTRUZIONI DI MONTAGGIO

Dettagli

I.P.S.I.A. Di BOCCHIGLIERO. ---- Fotoemettitori e Fotorivelatori ---- Materia: Telecomunicazioni. prof. Ing. Zumpano Luigi. Filippelli Maria Fortunata

I.P.S.I.A. Di BOCCHIGLIERO. ---- Fotoemettitori e Fotorivelatori ---- Materia: Telecomunicazioni. prof. Ing. Zumpano Luigi. Filippelli Maria Fortunata I..S.I.A. Di BOCCHIGLIERO a.s. 2010/2011 -classe III- Materia: Telecomunicazioni ---- Fotoemettitori e Fotorivelatori ---- alunna Filippelli Maria Fortunata prof. Ing. Zumpano Luigi Fotoemettitori e fotorivelatori

Dettagli

T3 CIRCUITI RISONANTI E AMPLIFICATORI SELETTIVI

T3 CIRCUITI RISONANTI E AMPLIFICATORI SELETTIVI T3 CICUITI ISONANTI E AMPLIFICATOI SELETTIVI T3. Il fattore di merito di una bobina è misurato in: [a] henry. [b] ohm... [c] è adimensionale.. T3. Il fattore di perdita di un condensatore è misurato in:

Dettagli

SISTEMI DI ACQUISIZIONE

SISTEMI DI ACQUISIZIONE SISTEMI DI ACQUISIZIONE Introduzione Lo scopo dei sistemi di acquisizione dati è quello di controllo delle grandezze fisiche sia nella ricerca pura, nelle aziende e, per i piccoli utenti. I vantaggi sono:

Dettagli

CORSO DI SCIENZE E TECNOLOGIE APPLICATE PROGRAMMAZIONE DIDATTICA DI T.P.S.E.E. A.S. 2014-2015 CLASSE V ELN MODULI

CORSO DI SCIENZE E TECNOLOGIE APPLICATE PROGRAMMAZIONE DIDATTICA DI T.P.S.E.E. A.S. 2014-2015 CLASSE V ELN MODULI MODULI 1) CIRCUITI INTEGRATI, LA FABBRICAZIONE DEI CIRCUITI INTEGRATI 1. Circuiti integrati; 2. La fabbricazione dei circuiti integrati monolitici; 3. La fabbricazione dei circuiti integrati ibridi; 2)

Dettagli

GA-11. Generatore di segnale a bassa frequenza. Manuale d uso

GA-11. Generatore di segnale a bassa frequenza. Manuale d uso INFORMAZIONE AGLI UTENTI ai sensi dell art. 13 del decreto legislativo 25 luglio 2005, n. 15 Attuazione delle Direttive 2002/95/ CE, 2002/96/CE e 2003/108/CE, relative alla riduzione dell uso di sostanze

Dettagli

PROGRAMMAZIONE DIDATTICA ANNUALE. SETTORE TECNOLOGICO Indirizzo: Elettrotecnica ed Elettronica

PROGRAMMAZIONE DIDATTICA ANNUALE. SETTORE TECNOLOGICO Indirizzo: Elettrotecnica ed Elettronica ISTITUTO TECNICO INDUSTRIALE STATALE Basilio Focaccia via Monticelli (loc. Fuorni) - Salerno PROGRAMMAZIONE DIDATTICA ANNUALE SETTORE TECNOLOGICO Indirizzo: Elettrotecnica ed Elettronica Anno scolastico:

Dettagli

Classificazione dei Sensori. (raccolta di lucidi)

Classificazione dei Sensori. (raccolta di lucidi) Classificazione dei Sensori (raccolta di lucidi) 1 Le grandezze fisiche da rilevare nei processi industriali possono essere di varia natura; generalmente queste quantità sono difficili da trasmettere e

Dettagli

Corso di orientamento. Indirizzo: ELETTRONICA E TELECOMUNICAZIONI

Corso di orientamento. Indirizzo: ELETTRONICA E TELECOMUNICAZIONI M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE Corso di orientamento Indirizzo: ELETTRONICA E TELECOMUNICAZIONI Tema di: ELETTRONICA (Testo valevole per i corsi di ordinamento e per i corsi sperimentali

Dettagli

Corso di DISPOSITIVI E SISTEMI PER LE COMUNICAZIONI IN FIBRA OTTICA

Corso di DISPOSITIVI E SISTEMI PER LE COMUNICAZIONI IN FIBRA OTTICA Università Mediterranea di Reggio Calabria - Facoltà di Ingegneria Corso di DISPOSITIVI E SISTEMI PER LE COMUNICAZIONI IN FIBRA OTTICA Prof. Ing. Riccardo Carotenuto Anno Accademico 2007/2008-1- SOMMARIO

Dettagli

MT2 e MT3: Schede e sistemi di controllo motori passo passo

MT2 e MT3: Schede e sistemi di controllo motori passo passo IPSES S.r.l. Scientific Electronics MT2 e MT3: Schede e sistemi di controllo motori passo passo La gamma più completa per il controllo intelligente dei motori passo passo I nostri sistemi sono la soluzione

Dettagli

Corso di Elettronica di Potenza (12 CFU) ed Elettronica Industriale (6 CFU) Convertitori c.c.-c.c. 2/83

Corso di Elettronica di Potenza (12 CFU) ed Elettronica Industriale (6 CFU) Convertitori c.c.-c.c. 2/83 I convertitori c.c.-c.c. monodirezionali sono impiegati per produrre in uscita un livello di tensione diverso da quello previsto per la sorgente. Verranno presi in considerazione due tipi di convertitori

Dettagli

Circuito di pilotaggio ON OFF con operazionale

Circuito di pilotaggio ON OFF con operazionale PREMESSA Circuito di pilotaggio ON OFF con operazionale A cura del Prof. Marco Chirizzi www.marcochirizzi.it Si supponga di dovere progettare un circuito di pilotaggio ON OFF in grado di mantenere un fluido

Dettagli

COMPITI PER LE VACANZE ESTIVE DI TEEA

COMPITI PER LE VACANZE ESTIVE DI TEEA COMPT PE LE VCNZE ESTVE D TEE CLSSE 4P.S. 2014-15 Per tutta la classe: l rientro verranno controllati e valutati i quaderni, saranno considerati sufficienti i lavori con almeno 15 esercizi svolti. Per

Dettagli

Inverter Variable frequency drive Frequenzumrichter

Inverter Variable frequency drive Frequenzumrichter Inverter Variable frequency drive Frequenzumrichter CONVERTIDOR DE FREcUENCIA INVERTER xxxxx TT100 ITALIANO INDICE PAG. Introduzione........................... E4 Ampia gamma L offerta si completa Compattezza

Dettagli

di Heaveside: ricaviamo:. Associamo alle grandezze sinusoidali i corrispondenti fasori:, Adesso sostituiamo nella

di Heaveside: ricaviamo:. Associamo alle grandezze sinusoidali i corrispondenti fasori:, Adesso sostituiamo nella Equazione di Ohm nel dominio fasoriale: Legge di Ohm:. Dalla definizione di operatore di Heaveside: ricaviamo:. Associamo alle grandezze sinusoidali i corrispondenti fasori:, dove Adesso sostituiamo nella

Dettagli

logiche LE PORTE Nelle prime due lezioni del Corso di Elettronica Digitale (parte terza)

logiche LE PORTE Nelle prime due lezioni del Corso di Elettronica Digitale (parte terza) & imparare & approfondire di GIANLORENZO VALLE Corso di Elettronica Digitale (parte terza) LE PORTE logiche In questa puntata poniamo le prime basi per comprendere meglio il funzionamento delle porte logiche

Dettagli

Prof. Antonino Cucinotta LABORATORIO DI ELETTRONICA CIRCUITI RADDRIZZATORI

Prof. Antonino Cucinotta LABORATORIO DI ELETTRONICA CIRCUITI RADDRIZZATORI Materiale e strumenti: Prof. Antonino Cucinotta LABORATORIO DI ELETTRONICA CIRCUITI RADDRIZZATORI -Diodo raddrizzatore 1N4001 (50 V 1A) -Ponte raddrizzatore da 50 V 1 A -Condensatori elettrolitici da 1000

Dettagli

21-Mar-03-2 ETLCE - B6-2003 DDC. 21-Mar-03-4 ETLCE - B6-2003 DDC. segnale modulato. transiszioni. finestra per trans fisse.

21-Mar-03-2 ETLCE - B6-2003 DDC. 21-Mar-03-4 ETLCE - B6-2003 DDC. segnale modulato. transiszioni. finestra per trans fisse. Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni Anelli ad aggancio di fase (PLL) B6 - Demodulatori e sincronizzatori» FSK, PSK, PAM» recupero dati/clock (CDR)»

Dettagli

CONTROLLO SCALARE V/Hz DEL MOTORE ASINCRONO. Prof. Silvio Stasi Dott. Ing. Nadia Salvatore Dott. Ing. Michele Debenedictis

CONTROLLO SCALARE V/Hz DEL MOTORE ASINCRONO. Prof. Silvio Stasi Dott. Ing. Nadia Salvatore Dott. Ing. Michele Debenedictis CONTROLLO SCALARE V/Hz DEL MOTORE ASINCRONO SCHEMA DELL AZIONAMENTO A CATENA APERTA AZIONAMENTO L azionamento a catena aperta comprende il motore asincrono e il relativo convertitore statico che riceve

Dettagli

Generatore radiologico

Generatore radiologico Generatore radiologico Radiazioni artificiali alimentazione: corrente elettrica www.med.unipg.it/ac/rad/ www.etsrm.it oscar fiorucci. laurea.tecn.radiol@ospedale.perugia.it Impianto radiologico trasformatore

Dettagli

ITI M. FARADAY Programmazione modulare

ITI M. FARADAY Programmazione modulare ITI M. FARADAY Programmazione modulare A.S. 2015/16 Indirizzo: ELETTROTECNICA ed ELETTRONICA Disciplina: ELETTROTECNICA ed ELETTRONICA Classe: V A elettrotecnica settimanali previste: 6 INSEGNANTI: ERBAGGIO

Dettagli

Istituto Tecnico dei Trasporti e Logistica Nautico San Giorgio Genova A/S 2012/2013 Programma Didattico Svolto Elettrotecnica ed Elettronica

Istituto Tecnico dei Trasporti e Logistica Nautico San Giorgio Genova A/S 2012/2013 Programma Didattico Svolto Elettrotecnica ed Elettronica Docenti: Coppola Filippo Sergio Sacco Giuseppe Istituto Tecnico dei Trasporti e Logistica Nautico San Giorgio Genova A/S 2012/2013 Programma Didattico Svolto Classe 3A2 Elettrotecnica ed Elettronica Modulo

Dettagli

Indice 3. Elenco delle figure 9. 1 Introduzione 17. I Azionamenti e Macchine Elettriche 1

Indice 3. Elenco delle figure 9. 1 Introduzione 17. I Azionamenti e Macchine Elettriche 1 Indice Indice 3 Elenco delle figure 9 1 Introduzione 17 I Azionamenti e Macchine Elettriche 1 2 Elementi di macchine elettriche 3 2.1 Motore brushed DC........................ 3 2.1.1 Modello matematico

Dettagli

Alimentatore con controllo remoto per Protezione Catodica Mod. CT36

Alimentatore con controllo remoto per Protezione Catodica Mod. CT36 Alimentatore con controllo remoto per Protezione Catodica Mod. CT36 Telemagnetica S.r.l. Via Teocrito, 36-20128 Milano Tel. +39-022552900 - Fax +39-022553097 E-Mail: info@telemagnetica.com Http:\\ Gennaio

Dettagli