x y z F x y z F

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "x y z F x y z F"

Transcript

1 Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali sincrone Esercitazione (Capitolo 2) Reti Logiche Semplificazione ottima di F Tabella di verità: x y z F x y z F Semplificazione di F Mappe di Karnaugh x y z Implicanti primi F = z + xy

2 Semplificazione ottima di G vw yz G = w z + vwy + vwz Analisi di reti combinatorie Si consideri la rete combinatoria caratterizzata da tre ingressi A, B, C e da due uscite le cui funzioni sono: Y = ABC + ABC + ABC + ABC Y 2 = ABC + ABC + ABC + ABC. Scrivere la tabella di verità. 2. Calcolare le forme minime per mezzo delle mappe di Karnaugh. Tabella di verità e mappe di Karnaugh A B C Y Y A B C Y è già in forma minima! A B C Y 2 = AB + AC + BC Realizzare Y con porte NAND Y = ABC ABC ABC ABC = = ( A B C) ( A B C) ( A B C) A B C A B C ( ) Simboli NAND:, Y

3 Calcolare Y3 = Y + Y2 Sintesi di reti combinatorie: Esercizio C A B 00 Y 3 = A + B + C 0 0 Progettare una rete combinatoria che confronti due numeri X e Y a 2 bit, presentando un uscita Z = solo quando il primo è minore o uguale al secondo (X Y). 0 Soluzione X=(X 0 X ) 2 e Y=(Y 0 Y ) 2, dove ( ) 2 significa in base 2 In particolare: (00) 2 = 0, (0) 2 =, (0) 2 = 2, () 2 = 3 Mappe di Karnaugh Y0 Y X0 X Z = X 0 X + X 0 Y + X 0 Y 0 +Y 0 Y + X Y 0 0

4 Sintesi di reti combinatorie: Esercizio 2 Si progetti la rete logica che realizza un "visualizzatore a 7 segmenti (ogni segmento è costituito da un led). Tale dispositivo consente di rappresentare le 0 cifre decimali, rappresentate in formato BCD (Binary Coded Decimal), accendendo la combinazione opportuna di segmenti. Ipotizzare che ciascun segmento venga acceso attraverso il segnale e venga mantenuto spento con il segnale Soluzione: Suggerimenti Definizione del numero di ingressi: Le cifre decimali sono dieci. Quanti bit di ingresso sono necessari? Definizione del numero di uscite: I segmenti sono sette. Ognuno di essi assume due configurazioni: acceso/spento. Quanti bit di uscita sono necessari? Rappresentazione delle cifre Tabella di verità

5 Mappe di Karnaugh () Mappe di Karnaugh (2) Mappe di Karnaugh (3) Mappe di Karnaugh (4)

6 Reti sequenziali: analisi e sintesi Flip flop utilizzati per l implementazione del blocco ritardante X Rete sequenziale Rete combinatoria per la transizione dello stato FF S FF S Rete Y FF combinatoria per il calcolo FF dell uscita Stato successivo Q(t+delta) Stato attuale Q(t) Analisi: dal circuito, risalire alla funzione svolta dalla rete sequenziale. Sintesi: dalla definizione dei requisiti, progettare il circuito che realizza la funzionalità richiesta. Analisi di reti sequenziali sincrone: Esercizio () Calcolo delle funzioni implementate dalle reti combinatorie X A B Funzione di transizione dello stato: CLK T T A T T B T B T A = B X + AX = AB + B X + BX CLK Funzione di uscita: Z Z = ABX

7 (2) Calcolo della tabella delle transizioni T A = B X + AX T B = AB + B X + BX Z = ABX (3) Calcolo della tabella di flusso Associo a ogni configurazione della coppia AB uno stato: 00 S0; 0 S; 0 S2; S3 Q(t) Q(t+ δελτα) T N.B.: lo stato futuro è rappresentato dalla coppia A B nella tabella delle transizioni. (4) Calcolo del diagramma degli stati Sintesi di una rete sequenziale: Esercizio Progettare una rete sequenziale che presenti un ingresso X e un uscita Z posta a qualora venga rilevata la sequenza 0. Riconosce la sequenza 00 Si calcolino le forme minime delle variabili di eccitazione con le mappe di Karnaugh, utilizzando flip flop D.

8 Grafo degli stati Tabella di flusso, codifica degli stati e tabella delle transizioni Codifica degli stati: S0: 00 S: 0 S2: 0 S3: Mappe di Karnaugh Sintesi di una rete sequenziale: Esercizio 2 Progettare una rete sequenziale che presenti un ingresso X e un uscita Z posta a ogni volta che viene riconosciuta la sequenza di sei bit 000. Si richiede: Il diagramma degli stati, la tabella di flusso e la tabella delle transizioni. Il calcolo delle forme minime delle variabili di eccitazione dei flip flop con le mappe di Karnaugh. Si usino flip flop JK.

9 Grafo degli stati Tabella di flusso 0/0 /0 /0 /0 S0 S S2 / /0 0/0 0/0 0/0 S5 0/0 S4 /0 S3 0/0 Codifica degli stati Per codificare 6 stati occorrono tre flip flop. La codifica è la seguente: S0 000; S 00; ; S5 0. Nel seguito indicheremo ciascun bit della codifica con le lettere A, B, C. L apice indicherà il bit nell istante successivo a quello considerato. Tabella delle transizioni Tabella di eccitazione del flip flop JK

10 Mappa di Karnaugh Flip Flop A Mappa di Karnaugh Flip Flop B Mappa di Karnaugh Flip Flop C Mappa di Karnaugh dell uscita Z Infine, per quanto riguarda l uscita: Volendo utilizzare anche i don t care: Z = ABCX Z = ACX

11 Sintesi di una rete sequenziale: Esercizio 3 Struttura del circuito Realizzare un flip flop JK a partire da un flip flop T e una opportuna rete logica. Sintetizzare la rete logica minima usando le mappe di Karnaugh e disegnare il relativo circuito. Esporre con la massima chiarezza il ragionamento seguito. Tabelle di eccitazione dei flip flop JK e T Tabella delle transizioni e mappa di Karnaugh Q(t) Q(t+τ) J K d 0 d 0 d d 0 Q(t) Q(t+ τ) T

12 Circuito completo Sintesi di una rete sequenziale: Esercizio 4 Realizzare, con il metodo visto nell esercizio precedente: Un FF-T a partire da un FF-JK; Un FF-D a partire da un FF-JK. La soluzione è lasciata come esercizio: Si tratta di dimostrare che un FF-T è ottenibile da un FF-JK ponendo T=J=K; Analogamente, si può ottenere un FF-D ponendo D=J=K (l apice indica la negazione). Esercizio sui latch Esprimere le uscite di un latch JK asincrono e di uno sincrono secondo l andamento dei segnali in figura (CLK è il segnale di sincronismo). Soluzione C LK J CLK K J Q AS K Q S

13 Domande??

x y z F x y z F 0 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 F = x z + y z + yz + xyz G = wyz + vw z + vwy + vwz + v w y z Sommario

x y z F x y z F 0 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 F = x z + y z + yz + xyz G = wyz + vw z + vwy + vwz + v w y z Sommario Esercitazione di Calcolatori Elettronici Prof. Gian Luca Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Esercitazione di Calcolatori Elettronici Ing. Battista Biggio. Corso di Laurea in Ingegneria Elettronica. Esercitazione 1 (Capitolo 2) Reti Logiche

Esercitazione di Calcolatori Elettronici Ing. Battista Biggio. Corso di Laurea in Ingegneria Elettronica. Esercitazione 1 (Capitolo 2) Reti Logiche Esercitazione di Calcolatori Elettronici Ing. Battista Biggio Corso di Laurea in Ingegneria Elettronica Esercitazione 1 (Capitolo 2) Reti Logiche Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie

Dettagli

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Tutorato di Ing. Roberto Casula Ing. Rita Delussu casula.roberto103@hotmail.it rita.delussu2016@gmail.com Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Progettare un riconoscitore

Dettagli

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali Tutorato di Calcolatori

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Esercitazioni di Reti Logiche. Lezione 5

Esercitazioni di Reti Logiche. Lezione 5 Esercitazioni di Reti Logiche Lezione 5 Circuiti Sequenziali Zeynep KIZILTAN zeynep@cs.unibo.it Argomenti Circuiti sequenziali Flip-flop D, JK Analisi dei circuiti sequenziali Progettazione dei circuiti

Dettagli

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo.

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. NOME e COGNOME (stampatello): Compito A Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. 0 1 S1 S7/01 S2/11 S2 S2/10 S3/11 S3 S0 S2/01 S4 S0 S5/01 S5 S6/10 S4/11 S6 S5/10

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Una macchina sequenziale è definita dalla quintupla I è l insieme finito dei simboli d ingresso U è l insieme finito dei simboli

Dettagli

Macchine combinatorie: progettazione. Macchine combinatorie

Macchine combinatorie: progettazione. Macchine combinatorie Corso di Calcolatori Elettronici I A.A. 011-01 Macchine combinatorie: progettazione Lezione 13 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di Ingegneria Corso di Laurea

Dettagli

Calcolatori Elettronici Prof. Ing. Fabio Roli

Calcolatori Elettronici Prof. Ing. Fabio Roli Calcolatori Elettronici Prof. Ing. Fabio Roli Corso di Laurea in Ingegneria Elettronica Capitolo 2 Reti Logiche Fonti principali: Appunti del Docente; Stallings, W., "Architettura e organizzazione dei

Dettagli

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO E VECCHIO ORDINAMENTO DIDATTICO 27 Febbraio 2003

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO E VECCHIO ORDINAMENTO DIDATTICO 27 Febbraio 2003 SOLUZIONI DELLA PROVA SCRIA DEL CORSO DI NUOVO E VECCHIO ORDINAMENO DIDAICO 27 Febbraio 2003 MOIVARE IN MANIERA CHIARA LE SOLUZIONI PROPOSE A CIASCUNO DEGLI ESERCIZI SVOLI ESERCIZIO 1 (10 punti) Dall analisi

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

Modulo Calcolatori Elettronici Prof. Ing. Fabio Roli

Modulo Calcolatori Elettronici Prof. Ing. Fabio Roli Modulo Calcolatori Elettronici Prof. Ing. Fabio Roli Corsi di Laurea in Ingegneria Elettrica, Elettronica ed Informatica, Ingegneria Biomedica Capitolo 2 Reti Logiche Fonti principali: Appunti del Docente;

Dettagli

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO ORDINAMENTO DIDATTICO 2 Luglio 2004

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO ORDINAMENTO DIDATTICO 2 Luglio 2004 SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI NUOVO ORDINAMENTO DIDATTICO 2 Luglio 2004 MOTIVARE IN MANIERA CHIARA LE SOLUZIONI PROPOSTE A CIASCUNO DEGLI ESERCIZI SVOLTI ESERCIZIO 1 (9 punti) (a) (5 punti)

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Sequenziali Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico 2007/2008 Sintesi dei circuiti sequenziali

Dettagli

Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis. Algebra booleana. Operatori logici di base P AND Q = P Q

Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis. Algebra booleana. Operatori logici di base P AND Q = P Q Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis Corso di Laurea in Ingegneria Elettronica Capitolo 2 Reti Logiche Fonti principali: Appunti del Docente; Stallings, W., "Architettura e organizzazione

Dettagli

PRIMA PROVA INTERMEDIA DEL CORSO DI C A L C O L A T O R I E L E T T R O N I C I 27 Aprile 2012

PRIMA PROVA INTERMEDIA DEL CORSO DI C A L C O L A T O R I E L E T T R O N I C I 27 Aprile 2012 PRIMA PROVA INTERMEDIA DEL CORSO DI C A L C O L A T O R I E L E T T R O N I C I 27 Aprile 2012 NOME: COGNOME: MATRICOLA: CDS: ESERCIZIO 1 (10 punti) Si implementi una rete sequenziale la cui uscita valga

Dettagli

circuiti combinatori Esercitazioni su Algebra Booleana: funzioni logiche di base Algebra booleana: equazioni

circuiti combinatori Esercitazioni su Algebra Booleana: funzioni logiche di base Algebra booleana: equazioni Esercitazioni su circuiti combinatori Salvatore Orlando & Marta Simeoni Algebra Booleana: funzioni logiche di base NOT (complemento): l uscita è il complemento dell ingresso A A 0 1 1 0 NAND A B (A B)

Dettagli

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 19/01/2018

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 19/01/2018 UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 19/01/2018 Esercizio 1 La porta di ingresso di un istituto bancario è controllata

Dettagli

Esercitazione del 26/03/ Soluzioni

Esercitazione del 26/03/ Soluzioni Esercitazione del 26/03/2009 - oluzioni 1. Bistabile asincrono C (detto anche R) C C ~ Tabella delle transizioni o stato prossimo: C * 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 X 1 1 1 X Configurazioni

Dettagli

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Reti Sincrone Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Le variabili di stato future sono quelle all ingresso dei FF-D mentre le variabili di stato presente sono le uscite dei

Dettagli

ESERCIZIO N. 1 - PAGINA 1

ESERCIZIO N. 1 - PAGINA 1 ESERCIZIO N. - PAGINA Si desidera progettare la macchina sequenziale asincrona M che genera il segnale ACK, avendo come ingressi i segnali R ed R2. Si assuma che i segnali R ed R2 non cambino mai contemporaneamente.

Dettagli

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI 1 Classificazione dei circuiti logici Un circuito è detto combinatorio se le sue uscite (O i ) sono determinate univocamente dagli ingressi (I i ) In pratica

Dettagli

Esercitazioni su circuiti combinatori

Esercitazioni su circuiti combinatori Esercitazioni su circuiti combinatori Salvatore Orlando & Marta Simeoni Arch. Elab. - S. Orlando - 1 Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1

Dettagli

Costruzione di. circuiti combinatori

Costruzione di. circuiti combinatori Costruzione di circuiti combinatori Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1 A B (A + B) 0 0 0 0 1 1 1 0 1 1 1 1 AND (prodotto): l uscita è 1

Dettagli

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA.

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA. a Esercizio 1. Sintetizzare un circuito sequenziale sincrono in base alle specifiche temporali riportate nel seguito. Il circuito riceve in input solo il segnale di temporizzazione (CK) e produce tre uscite,

Dettagli

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori...

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori... Indice Prefazione del Prof. Filippo Sorbello........................... VII Prefazione del Prof. Mauro Olivieri............................ Prefazione degli autori.........................................

Dettagli

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6. Prof. Rosario Cerbone

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6. Prof. Rosario Cerbone LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6 Prof. Rosario Cerbone rosario.cerbone@uniparthenope.it http://digilander.libero.it/rosario.cerbone a.a. 2008-2009 Circuiti Sequenziali In questa

Dettagli

Esercizi sulle Reti Sequenziali Sincronizzate

Esercizi sulle Reti Sequenziali Sincronizzate Esercizi sulle Reti Sequenziali Sincronizzate Corso di Laurea di Ing. Gestionale e di Ing. delle Telecomunicazioni A.A. 27-28 1. Disegnare il grafo di stato di una RSS di Moore avente tre ingressi A, B,

Dettagli

Reti Logiche T. Esercizi reti sequenziali asincrone

Reti Logiche T. Esercizi reti sequenziali asincrone Reti Logiche T Esercizi reti sequenziali asincrone ESERCIZIO N. 1 Una rete sequenziale asincrona è caratterizzata da due segnali d ingresso A e C e da un segnale di uscita Z. I segnali d ingresso non possono

Dettagli

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 7

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 7 Compito A Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 3 1/1 0/0 S 6 S 7 S 1 S 2 S 4 S 5 0/0 1/1 Esercizio 2 (15 punti) Progettare un

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequenziali sincrone ESERCIZIO N. Si esegua la sintesi di una rete sequenziale sincrona caratterizzata da un unico segnale di ingresso (X) e da un unico segnale di uscita (Z),

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

FONDAMENTI DI INFORMATICA Lezione n. 7. Esercizi di progetto di circuiti sequenziali

FONDAMENTI DI INFORMATICA Lezione n. 7. Esercizi di progetto di circuiti sequenziali FONDAMENTI DI INFORMATICA Lezione n. 7 Esercizi di progetto di circuiti sequenziali 1 / 17 RIEPILOGO TEORICO CIRCUITI SEQUENZIALI: le uscite dipendono non solo dagli ingressi, ma anche dallo stato interno

Dettagli

Secondo esonero di Architetture degli Elaboratori I Canale P-Z 22 Gennaio 2007

Secondo esonero di Architetture degli Elaboratori I Canale P-Z 22 Gennaio 2007 Compito A Secondo esonero di Architetture degli Elaboratori I Canale P-Z 22 Gennaio 27 Esercizio (4 punti) Progettare una rete sequenziale con 2 linee di ingresso e y e una linea di uscita z tale che:

Dettagli

Tecniche di semplificazione. Circuiti digitali notevoli

Tecniche di semplificazione. Circuiti digitali notevoli Architettura degli Elaboratori e delle Reti Lezione 5 Tecniche di semplificazione Circuiti digitali notevoli F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano A.A.

Dettagli

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone RETI LOGICHE T Ingegneria Informatica Esercitazione 3 Reti Sequenziali Sincrone Marco Lippi (marco.lippi3@unibo.it) [Lucidi realizzati da Samuele Salti] Esercizio Sintesi RSS Si vuole progettare una rete

Dettagli

Prova d esame di Reti Logiche T 13 Luglio 2016

Prova d esame di Reti Logiche T 13 Luglio 2016 Prova d esame di Reti Logiche T 13 Luglio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Corso di studi in Ingegneria Elettronica A.A. 2006/2007. Calcolatori Elettronici. Esercitazione n 2

Corso di studi in Ingegneria Elettronica A.A. 2006/2007. Calcolatori Elettronici. Esercitazione n 2 Corso di studi in Ingegneria Elettronica A.A. 26/27 Calcolatori Elettronici Esercitazione n 2 Codici a correzione di errore Recupero degli errori hardware tramite codifiche ridondanti Codifiche con n =

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

LSS 2018/19 Canale A-De Esonero 2, testo A

LSS 2018/19 Canale A-De Esonero 2, testo A Cognome Nome LSS 2018/19 Canale A-De Esonero 2, testo A e Matricola Esercizio 1 (8 punti): Progettare un circuito di tipo Sallen-Key passa-basso con frequenza di taglio del singolo polo pari ad 1 khz.

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

Esercizio 2: controllare l identità delle seguenti due funzioni di 4 variabili :

Esercizio 2: controllare l identità delle seguenti due funzioni di 4 variabili : Compito A Esercizio 1 Data la seguente tabella di verità ricavare la forma canonica congiuntiva e disgiuntiva. Ricavare poi la EB minima usando le mappe di Karnaugh. a b c y 0 0 0 1 0 0 1 0 0 1 0 1 0 1

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 Prof. Rosario Cerbone rosario.cerbone@libero.it a.a. 2005-2006 http://digilander.libero.it/rosario.cerbone Sintesi di Reti Sequenziali Sincrone In

Dettagli

Come realizzate un AND a tre ingressi utilizzando solo porte NAND? Disegnate lo schema circuitale.

Come realizzate un AND a tre ingressi utilizzando solo porte NAND? Disegnate lo schema circuitale. COMPITO A Esercizio 1 (5 punti) Come realizzate un AND a tre ingressi utilizzando solo porte NAND? Disegnate lo schema circuitale. AND(x, y, z) = NAND(NAND(x, NAND(NAND(y, z), NAND(y, z))), NAND(x, NAND(NAND(y,

Dettagli

Reti Logiche A Appello del 9 luglio 2009

Reti Logiche A Appello del 9 luglio 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Reti Logiche A Appello del 9 luglio 2009 Matricola Cognome Nome

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

PROVA SCRITTA DEL MODULO DI NOME: COGNOME: MATRICOLA:

PROVA SCRITTA DEL MODULO DI NOME: COGNOME: MATRICOLA: PROVA SCRITTA DEL MODULO DI CORSO DI LAUREA IN INGEGNERIA ELETTRICA ED ELETTRONICA, INGEGNERIA BIOMEDICA - 6 CFU Settembre 204 NOME: COGNOME: MATRICOLA: ESERCIZIO RETI LOGICHE (0 punti) Si vuole implementare

Dettagli

Un quadro della situazione. Lezione 9 Logica Digitale (3) Dove siamo nel corso. Organizzazione della lezione. Dove siamo. Dove stiamo andando..

Un quadro della situazione. Lezione 9 Logica Digitale (3) Dove siamo nel corso. Organizzazione della lezione. Dove siamo. Dove stiamo andando.. Un quadro della situazione Lezione 9 Logica Digitale (3) Vittorio carano Architettura Corso di Laurea in Informatica Università degli tudi di alerno Architettura (2324). Vi.ttorio carano Input/Output Memoria

Dettagli

Funzioni, espressioni e schemi logici

Funzioni, espressioni e schemi logici Funzioni, espressioni e schemi logici Il modello strutturale delle reti logiche Configurazioni di n bit che codificano i simboli di un insieme I i i n F: I S U u u m Configurazioni di m bit che codificano

Dettagli

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini Appello di Progettazione di Sistemi Digitali 16 Settembre 2013 - Docenti: Proff. Gorla e Massini Esercizio 1 (3 punti): Convertire in base 4 con rappresentazione in virgola fissa il numero decimale 214,1362

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Lezione 11 -- 19/1/2012 Reti Logiche: esercizi sulle le reti combinatorie Emiliano Casalicchio emiliano.casalicchio@uniroma2.it Argomenti della lezione Reti combinatorie Decoder,

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali Reti Logiche Prof. B. Buttarazzi A.A. 29/2 Reti Sequenziali Sommario Analisi di Reti Sequenziali Sintesi di Reti Sequenziali Esercizi 3/6/2 Corso di Reti Logiche 29/ 2 Analisi di Reti Sequenziali Passare

Dettagli

SCHEDA N D05_02A Data: 28/01/2005. ESERCIZIO N 2 7 punti. ESERCIZIO N 3 6 punti. ESERCIZIO N 4 7 punti. ESERCIZIO N 1 8 punti.

SCHEDA N D05_02A Data: 28/01/2005. ESERCIZIO N 2 7 punti. ESERCIZIO N 3 6 punti. ESERCIZIO N 4 7 punti. ESERCIZIO N 1 8 punti. SCHEDA N D05_02A Data: 2/01/2005 Nome Valutazione: ESERCIZIO N 2 7 punti Determinare la caratteristica di trasferimento a vuoto della seguente porta. Si assuma V CC 5 V. Determinare la corrente di uscita

Dettagli

Esercizi sulla rappresentazione dell informazione

Esercizi sulla rappresentazione dell informazione Esercizi sulla rappresentazione dell informazione Esercizio 1A Trovare la rappresentazione binaria di 56,83 in virgola fissa quattro bit di precisione. Soluzione 1A: La rappresentazione binaria di 56,83

Dettagli

COMPITO A. Esercizio 1 (17 punti)

COMPITO A. Esercizio 1 (17 punti) Esercizio (7 punti) COMPITO A Si hanno a disposizione due registri sorgente S e S da 6 bit che contengono reali memorizzati in rappresentazione a virgola mobile normalizzata : il primo bit (b ) rappresenta

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI LOGICHE: RETI COMBINATORIE Massimiliano Giacomin 1 INTRODUZIONE: LIVELLI HARDWARE, LIVELLO LOGICO PORTE LOGICHE RETI LOGICHE 2 LIVELLI HARDWARE Livello funzionale Livello logico

Dettagli

13/01/2005 COGNOME NOME MATRICOLA. SOLUZIONE ESERCIZIO N. 1 Pagina 1. Si consideri la rete sequenziale asincrona rappresentata in figura:

13/01/2005 COGNOME NOME MATRICOLA. SOLUZIONE ESERCIZIO N. 1 Pagina 1. Si consideri la rete sequenziale asincrona rappresentata in figura: SOLUION SRCIIO N. 1 Pagina 1 Si consideri la rete sequenziale asincrona rappresentata in figura: 0 1 0 1 2 3 4 z 5 6 7 C B A c b a DOMANDA N.1 (PUNTI 1) Si possono presentare problemi di corsa critica?

Dettagli

Esercitazione del 03/04/ Soluzioni

Esercitazione del 03/04/ Soluzioni Esercitazione del 03/04/2008 - oluzioni 1. Bistabile asincrono (detto anche R) ~ * 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 X 1 1 1 X onfigurazioni vietate:il circuito per queste configurazioni

Dettagli

ESAME DI ARCHITETTURA I COMPITO A

ESAME DI ARCHITETTURA I COMPITO A ESAME DI ARCHITETTURA I COMPITO A Esercizio (6 punti) Si consideri l automa di Mealy specificato dalla seguente tabella: S S/ S S S2/ S3/ S2 S2/ S3/ S3 S/ S/ S4 S/ S S5 S2/ S3/ ) Disegnare l automa. 2)

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone alcolatori ElettroniciIngegneria Telematica Sintesi di Reti Sequenziali Sincrone na macchina sequenziale è definita dalla quintupla δ, λ) dove: I è l insieme finito

Dettagli

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali Titolo lezione Fondamenti di informatica II 1 Sintesi di reti logiche sequenziali Reti combinatorie e sequenziali Fondamenti di informatica II 2 Due sono le tipologie di reti logiche che studiamo Reti

Dettagli

Esame di Progettazione di sistemi digitali. Prima Parte - Compito A

Esame di Progettazione di sistemi digitali. Prima Parte - Compito A Prima Parte - Compito A Esercizio (6 punti) Dati i valori nella rappresentazione in complemento a 2: A = 00000 e B=00 calcolare i valori decimali corrispondenti. Eseguire la somma e la differenza e verificare

Dettagli

Reti Logiche A Prova di giovedì 3 febbraio 2005

Reti Logiche A Prova di giovedì 3 febbraio 2005 olitecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A rova di giovedì febbraio 005 Matricola Cognome Nome

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI SEQUENZIALI : ESERCIZI Massimiliano Giacomin 1 Implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente il suo stato secondo una regola

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 28/29 RETI LOGICHE: RETI COMBINATORIE Massimiliano Giacomin 1 Reti combinatorie DEFINIZIONE Una rete combinatoria è un circuito elettronico in grado di calcolare in modo

Dettagli

* Y+2 se X e' minore o uguale a Y * X-Y-1 se X e' maggiore di Y. Esercizio 4 (6 punti) Quale delle seguenti equivalenze è corretta?

* Y+2 se X e' minore o uguale a Y * X-Y-1 se X e' maggiore di Y. Esercizio 4 (6 punti) Quale delle seguenti equivalenze è corretta? Nome: Cognome: matricola o n. documento: COMPITO A Esercizio 1 (6 punti) Sia data la rappresentazione in virgola mobile così definita: 1 bit di segno; 8 bit per l esponente in complemento a due; 23 bit

Dettagli

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna Logica binaria Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 Rappresentazione dell'informazione I calcolatori

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

PROVA SCRITTA DEL CORSO DI CALCOLATORI ELETTRONICI 19 Febbraio 2013

PROVA SCRITTA DEL CORSO DI CALCOLATORI ELETTRONICI 19 Febbraio 2013 PROVA SCRITTA DEL CORSO DI CALCOLATORI ELETTRONICI 19 Febbraio 2013 NOME: COGNOME: MATRICOLA: ESERCIZIO 1 (10 punti) Si consideri un calcolatore che dispone di una memoria principale di 256 Mbyte e di

Dettagli

Contatore asincrono esadecimale

Contatore asincrono esadecimale Contatore asincrono esadecimale Il contatore asincrono è un circuito composto da un generatore di onde quadre (clock), quattro Flip Flop JK con Preset e Clear attivi a fronte logico basso. Preset, J e

Dettagli

Esercitazioni di Reti Logiche. Lezione 3

Esercitazioni di Reti Logiche. Lezione 3 Esercitazioni di Reti Logiche Lezione 3 Semplificazione & Porte NAND/NOR Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Semplificazione con l uso delle mappe di Karnaugh a 3 variabili a 4 variabili

Dettagli

Fondamenti di Informatica e Programmazione

Fondamenti di Informatica e Programmazione Fondamenti di Informatica e Programmazione Prof. G ianni D Angelo Email: giadangelo@unisa.it A. A. 2018/19 Circuito Logico Il cuore di un sistema digitale è il circuito logico digitale Progettato a partire

Dettagli

Algebra di Boole X Y Z V. Algebra di Boole

Algebra di Boole X Y Z V. Algebra di Boole L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole che

Dettagli

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli

Sintesi di Reti Combinatorie

Sintesi di Reti Combinatorie Fondamenti di Informatica II Ingegneria Informatica e Biomedica I anno, II semestre A.A. 2005/2006 Sintesi di Reti Combinatorie Prof. Mario Cannataro Università degli Studi Magna Graecia di Catanzaro Il

Dettagli

Fondamenti di Informatica. P r o f. R a f fa e l e P i z zo l a n t e A. A / 1 7

Fondamenti di Informatica. P r o f. R a f fa e l e P i z zo l a n t e A. A / 1 7 Fondamenti di Informatica P r o f. R a f fa e l e P i z zo l a n t e A. A. 2 0 1 6 / 1 7 Circuito Logico Il cuore di un sistema digitale è il circuito logico digitale Progettato a partire da porte logiche

Dettagli

PROVA SCRITTA DEL MODULO DI. 17 giugno 2015 NOME: COGNOME: MATRICOLA: CFU:

PROVA SCRITTA DEL MODULO DI. 17 giugno 2015 NOME: COGNOME: MATRICOLA: CFU: PROVA SCRITTA DEL MODULO DI 7 giugno 205 NOME: COGNOME: MATRICOLA: CFU: ESERCIZIO (7 punti) (4 punti) Prima parte. Progettare una rete logica combinatoria che effettui la somma di tutti i valori di numeri

Dettagli

Modelli per le macchine digitali

Modelli per le macchine digitali Reti sequenziali Modelli per le macchine digitali Ingressi Uscite i(t 0 ) i(t n ) MACCHINA DIGITALE u(t 0 ) u(t n ) TEMPO In generale l uscita di una macchina in un certo istante temporale dipenderà dalla

Dettagli

Michele Angelaccio / Berta Buttarazzi. Reti logiche. PARTE SECONDA Reti sequenziali

Michele Angelaccio / Berta Buttarazzi. Reti logiche. PARTE SECONDA Reti sequenziali A09 37 Michele Angelaccio / Berta Buttarazzi Reti logiche PARTE SECONDA Reti sequenziali Copyright MMIV ARACNE EDITRICE S.r.l. www.aracneeditrice.it info@aracneeditrice.it 00173 Roma via Raffaele Garofalo,

Dettagli

Esercizio sugli automi di Moore

Esercizio sugli automi di Moore Esercizio sugli automi di Moore 1. Sintesi di un automa di Moore: Gestione di un sistema di inscatolamento. Si vuole costruire una rete sequenziale che controlli un sistema di inscatolamento. Braccio1

Dettagli

Compito A. Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati

Compito A. Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati Compito A Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati stato/input x=0 x=1 A B/0 A/0 B C/0 A/0 C B/0 D/1 D B/0 E/0 E B/0 D/1 Esercizio 2. (17 punti) Realizzare

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2005-2006 prof. Stefano CASELLI prof. William FORNACIARI I compitino del 11 novembre 2005 Bozza soluzioni del

Dettagli

SIMULAZIONE DELLA PROVA INTERMEDIA DEL CORSO DI CALCOLATORI ELETTRONICI

SIMULAZIONE DELLA PROVA INTERMEDIA DEL CORSO DI CALCOLATORI ELETTRONICI SIMULAZIONE DELLA PROVA INTERMEDIA DEL CORSO DI CALCOLATORI ELETTRONICI ESERCIZIO 1 (10 Punti) Si implementi una rete sequenziale la cui uscita valga Z=1 solo quando viene riconosciuta la sequenza in ingresso

Dettagli

Esercitazioni di Reti Logiche. Algebra Booleana e Porte Logiche

Esercitazioni di Reti Logiche. Algebra Booleana e Porte Logiche Esercitazioni di Reti Logiche Algebra Booleana e Porte Logiche Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico 2007/2008 Notizie Il primo parziale

Dettagli

Algebra e circuiti elettronici

Algebra e circuiti elettronici Algebra e circuiti elettronici I computer operano con segnali elettrici con valori di potenziale discreti Sono considerati significativi soltanto due potenziali (high/ low); i potenziali intermedi, che

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Testo di riferimento: [Congiu] - 2.4 (pagg. 37 57) Reti Logiche Combinatorie 00.b Analisi Minimizzazione booleana Sintesi Rete logica combinatoria: definizione 2 Una rete logica combinatoria èuna rete

Dettagli

Sintesi Sequenziale Sincrona

Sintesi Sequenziale Sincrona Sintesi Sequenziale Sincrona Sintesi comportamentale di reti sequenziali sincrone senza processo di ottimizzazione Sintesi comportamentale e architettura generale Diagramma degli stati Tabella degli stati

Dettagli

Esercizio 1 Domanda 1

Esercizio 1 Domanda 1 Esercizio 1 Domanda 1 R, P D 0, 1 0 0, 0 0 0, 0 0 A B C 1, 0 0 1, 0 1 F 0, 0 1 1, 0 0 E 0, 1 1 D -, 0 1 Esercizio 1 Domanda 2 S n R=0 R=1 A A, 10 -,- B C, 00 A, 00 C A, 00 D, 01 D E, 11 -,- E F, 01 A,

Dettagli

Sistemi Combinatori & Mappe di Karnaugh

Sistemi Combinatori & Mappe di Karnaugh Sistemi Combinatori & Mappe di Karnaugh AB E=0 F=0 E=1 F=0 00 01 11 10 AB 00 01 11 10 00 1 0 0 0 00 0 0 0 0 01 0 0 0 0 01 0 0 0 0 11 0 0 1 0 11 0 0 1 0 10 0 0 0 1 10 0 0 0 1 AB 00 01 11 10 AB 00 01 11

Dettagli

Generatori. Leggi di Ohm. Tot. h 21. Dipartimento Elettronica Materia Elettronica ed Elettrotecnica. Classe 3 AUT Ore/anno 231 A.S.

Generatori. Leggi di Ohm. Tot. h 21. Dipartimento Elettronica Materia Elettronica ed Elettrotecnica. Classe 3 AUT Ore/anno 231 A.S. Dipartimento Elettronica Materia Elettronica ed Elettrotecnica Classe 3 AUT Ore/anno 231 A.S. 2018-2019 MODULI COMPETENZE UNITA di APPRENDIMENTO Sapere applicare la legge di Ohm Conoscere gli elementi

Dettagli