Appendice. Comunicazione seriale

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Appendice. Comunicazione seriale"

Transcript

1 Appendice Comunicazione seriale

2 Appendice - Comunicazione seriale 93 A.1 Introduzione La comunicazione seriale è spesso usata sia per controllare che per ricevere dati da un microprocessore di tipo embedded. La comunicazione seriale è una forma di I/O nella quale i bits di un byte, da trasferire, si presentano uno dopo l altro in una sequenza temporale e su di un unico filo. La comunicazione seriale è diventata uno degli standard per la comunicazione tra computer. A.2 Standard RS232C Gli esempi in Figura 1 mostrano le forma d onda del segnale, che si propaga su un singolo conduttore, per trasmettere un byte serialmente (nell esempio il byte in esadecimale 0x41 ). +5 V Start bit Stop bit 1 logico TTL 0 V logico lsb Viene trasmesso per primo il bit meno significativo (lsb) msb +3 ~ +25 V Space RS ~ -3 V Mark Figura 1: Forme d'onda della trasmissione seriale del byte ( 0x41 ) La forma d onda nella Figura 1 della pagina precedente rappresenta quella a livelli TTL vista al pin di trasmissione dell integrato di codifica seriale. La forma d onda in basso mostra la stessa convertita ai livelli RS232C grazie ad un Convertitore di Livello RS- 232 (l integrato MAX 232 per fare un esempio). Le tensioni dei livelli della RS232C sono usati per assicurare una trasmissione error-free su grandi distanze che non si potrebbe avere con le tensioni dei livelli TTL e sono presenti su tutte le linee della porta seriale (RxD, TxD, RTS, CTS, DCD, ecc.). Come mostrato in Figura 1, ogni byte è

3 Appendice - Comunicazione seriale 94 preceduto da un bit di start ed è seguito da un bit di stop. I bits di start e di stop sono usati per sincronizzare il ricevitore seriale. Il byte di dati viene sempre trasmesso partendo dal bit meno significativo (lsb). Per il controllo d errore è anche possibile includere un bit di parità, appena prima del bit di stop. I bits sono trasmessi in specifici intervalli di tempo determinati dalla baud rate del segnale seriale. La baud rate è il reciproco del tempo necessario per spedire un bit. Comunicazioni seriali error-free richiedono che la baud rate, il numero di bits di dati, il numero di bits di stop e la presenza o meno del bit di parità, siano gli stessi sia al trasmettitore che al ricevitore. La comunicazione di tipo RS232 è asincrona cioè un segnale di clock non viene trasmesso con i dati. Ogni word di dati viene sincronizzata grazie al proprio bit di start ed a un clock interno, presente su entrambe le parti in comunicazione, per la definizione della temporizzazione. I dati spediti in questo modo sono detti framed. Questo perché le informazioni sono racchiuse (framed) tra un bit di start ed un bit di stop. Il bit di stop deve sempre essere ricevuto come uno 0 logico altrimenti si verifica un cosiddetto Frame Error. L errore si manifesta tipicamente quando ai due lati della comunicazione si utilizzano velocità differenti. I livelli logici RS-232 utilizzano tensioni da +3 a +25 volts per definire uno Space ( 0 logico) e tensioni da 25 a 3 volts per un Mark ( 1 logico). Le tensioni fuori da questi intervalli sono indefinite. A.2.1 Connettore RS232 I Personal Computer hanno connettori SUB-D a 9 o 25 pin di tipo maschio. Il layout dei pin è decritto nella Figura 2 (vista dall esterno del PC) Figura 2: Layout dei pin della porta seriale (vista dall esterno)

4 Appendice - Comunicazione seriale 95 Nome 25 pin 9 pin Direzione Nome Completo Osservazioni (V24) TxD 2 3 Output Transmit Data Serial Data Output RxD 3 2 Input Receive Data Serial Data Input RTS 4 7 Output Request To Send PC pronto per scambiare dati CTS 5 8 Input Clear To Send Modem pronto per scambiare dati DTR 20 4 Output Data Terminal PC pronto al Ready collegamento DSR 6 6 Input Data Set Raedy Modem pronto al collegamento RI 22 9 Input Ring Indicator Modem ha rilevato una chiamata sulla linea PSTN DCD 8 1 Input Data Carrier Detect Modem ha rilevato una portante GND Signal Ground Massa dei segnali Protective Ground Non usarlo come massa dei segnali Tabella 1: Informazioni sui pin dei connettori seriali Le linee più importanti sono RxD, TxD e GND. Le altre sono usate da modems, stampanti e plotters al fine di indicare il loro stato interno. A.2.2 Cavo Null Modem I dispositivi che usano cavi seriali per le loro comunicazioni sono divisi in due categorie: quella dei DCE (Data Communications Equipment) e quella dei DTE (Data Terminal Equipment). Alla categoria dei Data Communications Equipment fanno parte i dispositivi come modems, stampanti, plotters, ecc., mentre un computer o un terminale fa parte della categoria Data Terminal Equipment. Un cavo Null Modem è usato per collegare due dispositivi DTE. Questo cavo è comunemente usato come un sistema, a basso costo, per usare network games o per trasferire files tra due computers usando i protocolli Zmodem, Xmodem, ecc. Può anche essere usato con molti Microprocessor Development Systems (Sistemi di sviluppo a microprocessore).

5 Appendice - Comunicazione seriale 96 D D TxD RxD GND DTR DSR DCD RTS CTS RxD TxD GND DTR DSR DCD RTS CTS D D Figura 3: Diagramma di collegamento dei fili di un cavo Null Modem Questo schema richiede solo tre fili (TxD, RxD e GND) e ciò consente un notevole risparmio nella realizzazione di cavi molto lunghi. La teoria di funzionamento è abbastanza semplice. L obiettivo è quello di far credere al computer che la comunicazione sia con un modem invece che con un altro computer. Qualsiasi dato trasmesso dal primo computer deve essere ricevuto dal secondo ed è per questo che il TxD della prima porta è collegato all RxD della seconda e viceversa. Si devono inoltre collegare tra loro i due segnale di massa (GND) per fare in modo di avere la massa in comune tra i due computer. Il Data Terminal Ready è cortocircuitato (looped back) al Data Set Ready ed al Data Carrier Detect su entrambe le porte. Quando il Data Terminal Ready si porta attivo, allora anche il Data Set Ready ed il Data Carrier Detect immediatamente diventano attivi. A questo punto il computer interpreta questo fatto pensando che il modem virtuale a cui e connesso sia pronto per la comunicazione. Quello che rimane ora sono i segnali Request To Send e Clear To Send. Poiché i due computer comunicano alla stessa velocità, il controllo di flusso non è necessario ed è per questo che i due segnali sono cortocircuitati tra loro su ogni porta. Quando un computer vuole trasmettere dei dati, pone il segnale RTS alto; automaticamente anche il segnale CTS va alto, ricevendo così immediatamente la risposta che tutto è pronto per la trasmissione, ed inizia allora la trasmissione dei dati. Si può notare che il Ring Indicator non viene utilizzato. Questo segnale è serve soltanto quando si deve comunicare al computer la presenza di una chiamata in arrivo sulla linea telefonica. Questo pin non risulta utile poiché, in effetti, non c è un modem reale collegato alla linea telefonica.

6 Appendice - Comunicazione seriale 97 A.3 Tipi di UART Il termine UART sta per Universal Asynchronous Receiver/Transmitter. L UART in un PC è quell integrato dedicato alla gestione della comunicazione tra il PC e il dispositivo collegato alla porta seriale. Esistono vari modelli di questo integrato con caratteristiche tra loro lievemente differenti. I vari modelli sono i seguenti: 8250: Primo UART della serie. Non contiene alcun Scratch Register. Il mod. 8250A è una versione migliorata che possiede un bus dati più veloce. 8250A: Più veloce dell 8250 sul lato del bus. Ha le stesse caratteristiche software del B: Molto simile al : Usato sulle schede madri AT (migliorata la velocità del bus rispetto al 8250). Opera facilmente a 38,4 kbps. Ancora un modello molto comune oggi : Prima generazione di UART bufferizzati. Possiede un buffer di 16 byte ma avendo problemi di funzionamento è stato sostituito dal modello 16550A A: Modello di UART che si è maggiormente diffuso per l utilizzo con modem veloci. I buffer FIFO, su questo modello, funzionano correttamente : Famiglia di UART molto recente. Contiene un buffer FIFO di 32 bytes, caratteri X-On/X-Off programmabili e supporto del power management : Prodotto dalla Texas Instruments. Contiene un buffer di 64 bytes. A.4 Registri della porta seriale A.4.1 Indirizzi della porta & IRQ Nella tabella seguente sono riportati gli indirizzi delle porte standard. Questi sono validi per la maggior parte dei PC. Nome Indirizzo IRQ COM 1 3F8 4 COM 2 2F8 3 COM 3 3E8 4 COM 4 2E8 3 Tabella 2: Indirizzi delle porte seriali standard

7 Appendice - Comunicazione seriale 98 A.4.2 Descrizione dei registri Divisor Latch Access Bit (DLAB) I valori di DLAB, mostrati nella Tabella 3 nella omonima colonna, permettono il cambiamento del riferimento dell indirizzo a due registri diversi. In questo modo la UART è capace di avere 12 registri (incluso lo scratch register) utilizzando appena 8 indirizzi di porta. DLAB sta per Divisor Latch Access Bit. Quando il bit DLAB è posto ad uno attraverso il Line Control Register, due nuovi registri diventano disponibili e da questi è possibile specificare la velocità di comunicazione in bits per secondo (bps). Indirizzo Base DLAB Lettura/Scrittura Abrev. Nome Registro Scrittura - Transmitter Holding Buffer 0 Lettura - Receiver Buffer 1 Lettura/Scrittura - Divisor Latch Low Byte 0 Lettura/Scrittura IER Interrupt Enable Register 1 Lettura/Scrittura - Divisor Latch High Byte - Lettura IIR Interrupt Identification Register Scrittura FCR FIFO Control Register Lettura/Scrittura LCR Line Control Register Lettura/Scrittura MCR Modem Control Register Lettura LSR Line Status Register Lettura MSR Modem Status Register Lettura/Scrittura - Scratch Register Tabella 3: Tabella dei registri L UART possiede un oscillatore funzionante ad una frequenza intorno ai 1,8432 MHz. L UART incorpora un contatore che permette una divisione per 16 del segnale di clock. Assumendo così che il segnale di clock abbia una frequenza di 1,8432 MHz, otterremo, dopo il divisore, una frequenza di hertz ( /16), permettendo all UART di trasmettere e ricevere alla velocità di bits per secondo (bps). Questa velocità di comunicazione va bene per i dispositivi che sono in grado anch essi di raggiungerla (Modem veloci, ecc.) ma non, in generale, per tutte le periferiche. Per questo motivo l UART è dotata di un Programmable Baud Rate Generator controllato da due registri. Per esempio se si vuole stabilire una comunicazione a bps si deve dividere per 48 per ottenere in questo modo un clock a hertz. Il divisore, in questo caso 48, risiede in due registri controllati dal Divisor Latch Access Bit. Questo divisore è qualsiasi numero intero memorizzabile in 16 bits (quindi i valori possibili

8 Appendice - Comunicazione seriale 99 vanno da 0 a ). L UART ha un bus dati di soli 8 bits ed è per questo che vengono utilizzati due registri. Il primo registro ( Indirizzo Base + 0 con DLAB = 1) memorizza il Divisor Latch Low Byte mentre il secondo ( Indirizzo Base + 1 con DLAB = 1) memorizza il Divisor Latch High Byte. La tabella seguente mostra alcune delle velocità più comuni ed i relativi valori di divisione. I valori dei due registri sono espressi in esadecimale. Velocità (bps) Divisore (dec) Divisor Latch High Divisor Latch Low Byte Byte h 00h h 80h h C0h h 30h h 18h h 0Ch h 06h h 03h h 02h h 01h Tabella 4: Divisori della Baud Rate più comuni Interrupt Enable Register (IER) L Interrupt Enable Register è uno dei registri dell UART più facili da comprendere. Bit Note Bit 7 Riservato Bit 6 Riservato Bit 5 Abilita Low Power Mode (solo mod ) Bit 4 Abilita Sleep Mode (solo mod ) Bit 3 Abilita Modem Status Interrupt Bit 2 Abilita Receiver Line Status Interrupt Bit 1 Abilits Transmitter Holdin Register Empty Interrupt Bit 0 Abilita Received Data Available Interrupt Tabella 5: Interrupt Enable Register Ponendo ad uno il bit 0 si abilita l interrupt di segnalazione di disponibilità di dati ricevuti che si verifica quando il registro/buffer FIFO di ricezione contiene dati da essere letti dalla CPU.

9 Appendice - Comunicazione seriale 100 Il bit 1 abilita l interrupt che segnala quando il buffer di trasmissione è vuoto. Il bit 2 abilita l interrupt sullo stato della linea che segnala il cambiamento di stato della linea di ricezione. Analogamente il bit 3 abilita l interrupt sullo stato del modem. I bits da 4 a 7 sono di facile comprensione. Interrupt Identification Register (IIR) L Interrupt Identification Register è un registro a sola lettura. I bits 6 e 7 forniscono informazioni sullo stato del buffer FIFO. Quando entrambi i bits sono a zero nessun buffer FIFO è attivo. Questo è l unico risultato che si riceve dai modelli di UART 8250 e Se il bit 7 è alto e il bit 6 è basso, allora la UART ha i buffers abilitati ma inutilizzabili. Questo accade nella UART modello dove un bug rende i buffers FIFO inutilizzabili. Se entrambi i bits sono ad uno allora i buffers sono abilitati e funzionanti. I bits 4 e 5 sono riservati. Il bit 3 mostra lo stato del Time-out Interrupt implementato sui modelli di UART o superiori. Il bit 0 indica se si è verificato un interrupt e lo stato di quest ultimo è specificato dai bits 1 e 2. Questi interrupts funzionano a diversi stati di priorità. Il Line Status Interrupt ha la priorità più alta mentre il Data Available Interrupt ha la priorità più bassa. Bit Note Bit 6 Bit Nessun buffer FIFO Bits 6 e FIFO abilitati ma non utilizzabili 1 1 Buffers FIFO abilitati Bit 5 Abilitazione buffer FIFO a 64 bytes (solo modello 16750) Bit 4 Riservato 0 Riservato nei modelli 8250, Bit 3 1 Time-out Interrupt Pending (solo modello 16550) Bit 1 Bit Modem Status Interrupt Bits 1 e Transmitter Holding Register Empty Interrupt 1 0 Received Data Available Interrupt 1 1 Receiver Line Status Interrupt 0 Interrupt in attesa Bit 0 1 Nessun interrupt in attesa Tabella 6: Interrupt Identification Register First In/First Out Control Register (FCR) Il FIFO Register è un registro a sola scrittura. È usato per il controllo dei buffers FIFO (First In/First Out) situati nei modelli di UART e successivi.

10 Appendice - Comunicazione seriale 101 Il bit 0 abilita la funzionalità di trasmissione e di ricezione dei buffers FIFO. Ponendo a zero questo bit si ha la perdita dei dati memorizzati nei buffers. I bits 1 e 2 controllano l azzeramento rispettivamente del buffer di ricezione e del buffer di trasmissione lasciando inalterato lo stato dello shift register. Sono bits auto resettanti e quindi non è necessario mettrli a zero ad operazione avvenuta. Il bit 3 abilita la modalità DMA che è disponibile nel modelli UART e successivi. Il bit 4 è riservato e quello 5 abilita il buffer FIFO nel modello I bits 6 e 7 sono usati per impostare il livello di triggering del buffer FIFO di ricezione. Per esempio se il bit 7 è posto ad uno e quello 6 a zero, allora il livello di trigger è impostato a 8 bytes. Quando ci sono 8 bytes di dati nel buffer di ricezione allora si verifica il Received Data Available Interrupt (vedi Tabella 6). Bit Note Bit 7 Bit 6 Interrupt Trigger Level byte Bits 6 e bytes bytes bytes Bit 5 Abilita il buffer FIFO di 64 bytes (solo modello UART 16750) Bit 4 Riservato Bit 3 DMA Mode Select. Cambia lo stato dei pin RXRDY & TXRDY dal modo 1 al 2 Bit 2 Pulisci il buffer FIFO di trasmissione Bit 1 Pulisci il buffer FIFO di ricezione Bit 0 Abilita i buffers FIFO Tabella 7: FIFO Control Register Line Control Register (LCR) Il Line Control Register imposta i parametri base della comunicazione. Il bit 7 è il Divisor Latch Access Bit o, più in breve, DLAB (vedi Divisor Latch Access Bit (DLAB)). Il bit 6 configura il Break Enable. Quando questo bit è attivo, la linea TxD si porta nello stato Spacing (la tensione in uscita della linea di trasmissione è costante e pari al valore Space ; vedi Figura 1) che causa una interruzione (break) nella UART in ricezione. Ponendo il bit a zero si disabilita questa funzione. I bits 3, 4 e 5 selezionano la parità. Il bit 3 abilita il controllo di parità mentre il bit 5 controlla la se la parità debba essere di tipo sticky. La parità sticky è semplicemente

11 Appendice - Comunicazione seriale 102 quando il bit di parità viene trasmesso e controllato sempre come un 1 o uno 0. Questo metodo ha avuto molto poco successo nel controllo d errore poiché se i primi bits di dati contengono un errore ed il bit di parità sticky è impostato in un modo opportuno, l errore di parità non si manifesterà pur essendo la trasmissione errata. La parità sticky alta mette sempre ad 1 il bit di parità mentre la parità sticky bassa lo mette sempre ad 0. Bit Bit 7 Bit 6 Bits 3, 4 e 5 Bit 2 Bits 0 e 1 Note 1 Divisor Latch Access Bit 0 Accesso al buffer di ricez., a quello di trasm. ed al Interrupt Enable Register Set Break Enable Bit 5 Bit 4 Bit 3 Selezione della parità X X 0 No Parity Odd Parity Even Parity High Parity (Sticky) Low Parity (Sticky) Lunghezza del bit di stop 0 Un solo bit di stop 1 2 bits di stop per words di 6, 7, 8 bits o 1,5 bits di stop per words di 5 bits Bit 1 Bit 0 Lunghezza della word di dati bits bits bits bits Tabella 8: Line Control Register Se il bit 5 controlla la parità sticky, allora disattivando questo bit si avrà un controllo di parità normale fornita dal fatto che il bit 3 è anch esso attivo. Odd Parity si ha quando il bit di parità è configurato in modo tale che sommando gli 1 presenti nei bits di dati con quello eventuale del bit di parità si ottenga un valore dispari. Nel caso Even Parity invece si dovranno ottenere un numero pari di 1. Ciò permette un buon controllo d errore ma non è un metodo perfetto e quindi un codice CRC-32 è spesso usato per una correzione d errore di tipo software. Se un bit di dati è invertito allora si verifica un errore di parità; tuttavia se due bits di dati sono invertiti non si ha cambiamento di parità tra le due situazioni e quindi pur essendoci un errore questo non viene segnalato.

12 Appendice - Comunicazione seriale 103 Il bit 2 imposta la lunghezza del bit di stop. Ponendo questo bit a zero si utilizza un solo bit di stop mentre ponendolo ad uno si avranno 1,5 o 2 bits di stop in relazione alla lunghezza della word di dati. Si noti che il ricevitore utilizzerà solo il primo bit di stop. I bits 0 ed 1 impostano la lunghezza della word di dati. Una lunghezza di 8 bits è attualmente la più utilizzata. Modem Control Register (MCR) Il Modem Control Register è un registro a lettura ed a scrittura. I bits 5, 6 e 7 sono riservati. Il bit 4 attiva il modo loopback. Nel modo loopback l uscita seriale del trasmettitore è messa nella stato di tipo marking (la tensione in uscita della linea di trasmissione è costante e al valore mark ; vedi Figura 1). L ingresso seriale del ricevitore viene disconnesso. L uscita del trasmettitore è collegata all ingresso del ricevitore. Le linee DSR, CTS, RI e DCD sono disconnesse. Le linee DTR, RTS, OUT1 e OUT2 sono connesse agli ingressi di controllo del modem. Le uscite di controllo del modem sono quindi poste in uno stato inattivo. In questo modo ogni dato che viene posto nei registri di trasmissione in uscita è ricevuto dal circuito di ricezione sullo stesso integrato ed è disponibile nel buffer del ricevitore. Questo può essere utile per testare il funzionamento dell UART. Bit Note Bit 7 Riservato Bit 6 Riservato Bit 5 Autoflow Control Enabled (solo mod ) Bit 4 LoopBack Mode Bit 3 Aux Output 2 Bit 2 Aux Output 1 Bit 1 Force Request To Send Bit 0 Force Data Terminal Ready Tabella 9: Modem Control Register Aux Output 2 può essere connesso al circuito esterno che controllo del processo di interrupt della CPU-UART. Aux Output 1 è normalmente non connesso, ma in alcune schede è usato per commutare dall oscillatore a 1,8432 MHz a quello a 4 MHz che è utilizzato per comunicazioni MIDI. I bits 0 ed 1 semplicemente controllano le loro relative linee dati. Per esempio, ponendo il bit 1 ad 1, si imposta la linea Request To Send attiva.

13 Appendice - Comunicazione seriale 104 Line Status Register (LSR) Il Line Status Register è un registro a sola lettura. Il bit 7 comunica che c è un errore nel bit FIFO ricevuto. Questo bit è alto quando almeno un errore di break, parità o framing si è verificato in un byte contenuto nel buffer FIFO. Quando il bit 6 è alto, sia il Trasmitter Holding Register che lo Shift Register sono vuoti. L Holding Register dell UART contiene il prossimo byte di dati per essere trasferito in modo parallelo. Lo Shift Register è usato per convertire il byte di dati in forma seriale in modo da poter essere trasmesso sulla linea. Quando il bit 5 è alto, solo il Transmitter Holding Register è vuoto. Quindi, quando il bit 6 è alto, non sta avvenendo alcuna conversione seriale e quindi non ci sono attività di trasmissione sulle linee dati. Se il bit 5 è alto allora il Trasmitter Holding Register è vuoto, in questo modo un altro byte può essere spedito alla porta dati, ma una conversione seriale utilizzante lo Shift Register può essere in atto. Bit Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Note Error in Received FIFO Empty Data Holding Registers Empty Transmitter Holding Register Break Interrupt Framing Error Parity Error Overrun Error Data Ready Tabella 10: Line Status Register Il Break Interrupt (bit 4) si verifica quando la linea di ricezione dei dati è mantenuta allo stato logico 0 ( Space ) per un tempo superiore a quello necessario per spedire una intera word, cioè il tempo necessario per spedire il bit di start, i bits di dati, il bit di parità ed i bits di stop. Un Framing Error (bit 3) capita quando l ultimo bit del frame dati non è un bit di stop. Questo errore si verifica se vi è un errore di timing (temporizzazione). Il caso più comune nel quale si verifica questo errore è quando si utilizza un cavo null modem per collegare due computer e quest ultimi comunicano a due differenti velocità (la velocità di trasmissione su un computer è diversa da quella di ricezione dell altro e viceversa). Un Overrun Error normalmente si verifica quando un programma legge i

14 Appendice - Comunicazione seriale 105 dati dalla porta seriale ad una velocità insufficiente. Se non si riesce a togliere il byte di dati dal registro della porta seriale abbastanza velocemente prima che un altro byte di dati arrivi, allora i dati del byte vanno persi e si verifica l errore. Il bit 0 segnala i dati pronti e sta ad indicare che un byte è stato ricevuto dall UART ed è nel buffer di ricezione pronto per essere letto. Modem Status Register (MSR) Il bit 0 del Modem Status Register mostra il Delta Clear To Send. Il Delta sta a significare un cambiamento. Quindo il bit 0 indica un cambiamento nella linea Clear To Send dall ultima lettura di questo registro. Analogamente i bits 1 e 3 indicano cambiamenti alle rispettive linee. Il bit 2 indica che c è un cambiamento dello stato della linea Ring Indicator da basso ad alto. I bits da 4 a 7 quando letti, mostrano lo stato corrente delle rispettive linee dati. Bit Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 Note Carrier Detect Ring Indicator Data Set Ready Clear To Send Delta Data Carrier Detect Trailing Edge Ring Indicator Delta Data Set Ready Delta Clear To Send Tabella 11: Modem Status Register Scratch Register Lo Scratch Register non viene utilizzato per la comunicazione ma è piuttosto usato per memorizzare un byte di dati. Il suo vero utilizzo è quello di determinare se la UART nel PC fa parte della famiglia 8250/8250B o della famiglia 8250A/16450.

Bus RS-232. Ing. Gianfranco Miele April 28, 2011

Bus RS-232. Ing. Gianfranco Miele  April 28, 2011 Ing. Gianfranco Miele (g.miele@unicas.it) http://www.docente.unicas.it/gianfranco_miele April 28, 2011 Alcune definizioni Comunicazione seriale Bit trasmessi in sequenza Basta una sola linea Più lenta

Dettagli

COMUNICAZIONE SERIALE a cura dell' Ing. Buttolo Marco

COMUNICAZIONE SERIALE a cura dell' Ing. Buttolo Marco COMUNICAZIONE SERIALE a cura dell' Ing. Buttolo Marco Un PC può comunicare in vari modi con le periferiche. Fondamentalmente esistono due tipi di comunicazione molto comuni: 1. La comunicazione seriale

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo H: Sistemi Elettronici Lezione n H - 5: Collegamenti seriali Conclusione

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo H: Sistemi Elettronici Lezione n H - 5: Collegamenti seriali Conclusione ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo H: Sistemi Elettronici Lezione n. 40 - H - 5: Collegamenti seriali Conclusione Elettronica II - Dante Del Corso - Gruppo H - 4 n. 1-15/11/97

Dettagli

PROGRAMMAZIONE DELL ACE

PROGRAMMAZIONE DELL ACE PROGRAMMAZIONE DELL ACE L esposizione degli argomenti seguenti è finalizzata alla programmazione dell ACE per realizzare programmi di trasmissione seriale tra due PC, (o tra microcontrollore e PC) operando

Dettagli

Il protocollo RS Introduzione. 1.2 Lo Standard RS-232

Il protocollo RS Introduzione. 1.2 Lo Standard RS-232 1 Il protocollo RS232 1.1 Introduzione Come noto un dispositivo di interfaccia permette la comunicazione tra la struttura hardware di un calcolatore e uno o più dispositivi esterni. Uno degli obiettivi

Dettagli

L interfaccia più comune fra il computer (DTE) ed il modem (DCE) è la RS232,

L interfaccia più comune fra il computer (DTE) ed il modem (DCE) è la RS232, Interfaccia RS232 L interfaccia più comune fra il computer (DTE) ed il modem (DCE) è la RS232, questo standard è stato sviluppato dall ente americano EIA (Electronic Industries Associations) e ripreso

Dettagli

Lab. Sistemi - Classe 5Bn A. S. 2000/2001 ITIS Primo Levi - Torino AA.AA. STANDARD SERIALE DI COMUNICAZIONE: RS232 Lo standard seriale di

Lab. Sistemi - Classe 5Bn A. S. 2000/2001 ITIS Primo Levi - Torino AA.AA. STANDARD SERIALE DI COMUNICAZIONE: RS232 Lo standard seriale di STANDARD SERIALE DI COMUNICAZIONE: RS232 Lo standard seriale di interfacciamento è stato introdotto al fine di collegare dispositivi remoti a bassa velocità con un calcolatore centrale. In questo genere

Dettagli

per(il(corso(di(architetture(dei(sistemi(di(elaborazione(

per(il(corso(di(architetture(dei(sistemi(di(elaborazione( Esercizi(Assembler(8086(e(ARM( per(il(corso(di(architetture(dei(sistemi(di(elaborazione( Prof.(Mezzalama,(Ing.(Bernardi( v1.0marzo2013 Autore:MaggioLuigi E6mail:luis_may86@libero.it Portfolio:http://www.luigimaggio.altervista.org

Dettagli

Architettura dei Calcolatori Interfacce

Architettura dei Calcolatori Interfacce Architettura dei Calcolatori Interfacce Ingegneria dell Automazione A.A. 2011/12 Anna Lina Ruscelli Sommario Organizzazione dello spazio di I/O Interfacce parallele di ingresso/uscita senza handshake con

Dettagli

Richiamo: Interfacce di I/O

Richiamo: Interfacce di I/O Richiamo: Interfacce di I/O Bus Bus comandi indirizzi SISTEMA A µp Bus dati MONDO ESTERNO Dec D[0:7] CS* RD* INTERFACCIA DI I/O S[0:k-] UNITA DI I/O WR* (8 bit ) A[0:n-] Interfaccia standard verso il bus

Dettagli

I BUS DI COMUNICAZIONE SERIALI

I BUS DI COMUNICAZIONE SERIALI Corso di Misure per la Automazione e la Produzione Industriale (Studenti Ingegneria Elettrica e Meccanica V anno Vecchio Ordinamento) Misure per la Automazione e la Qualità (Studenti Ingegneria Elettrica

Dettagli

I BUS DI COMUNICAZIONE SERIALI

I BUS DI COMUNICAZIONE SERIALI Corso di Strumentazione e Misure per l Automazione (Studenti Ingegneria Meccanica II anno Laurea Magistrale curr. Automazione e Produzione) I BUS DI COMUNICAZIONE SERIALI Andrea Bernieri bernieri@unicas.it

Dettagli

Fondamenti sugli standard di interfaccia RS-232 e IEEE-488

Fondamenti sugli standard di interfaccia RS-232 e IEEE-488 Fondamenti sugli standard di interfaccia RS-232 e IEEE-488 1 1 Introduzione Come noto, un dispositivo di interfaccia permette il colloquio tra la struttura hardware di un calcolatore e uno o più dispositivi

Dettagli

I BUS DI COMUNICAZIONE SERIALI

I BUS DI COMUNICAZIONE SERIALI Corso di Misure per l Automazione e la Qualità (Studenti III anno Laurea Ingegneria Elettrica) I BUS DI COMUNICAZIONE SERIALI Andrea Bernieri bernieri@unicas.it Alcune definizioni i i i... - Comunicazione

Dettagli

Comunicazione. Prof. Antonino Mazzeo. Corso di Laurea Specialistica in Ingegneria Informatica

Comunicazione. Prof. Antonino Mazzeo. Corso di Laurea Specialistica in Ingegneria Informatica Comunicazione Seriale Prof. Antonino Mazzeo Corso di Laurea Specialistica in Ingegneria Informatica UART/USART Intel 8251A Standard Universal Synchronous/Asynchronous Receiver/Trasmitter (USART) Progettata

Dettagli

Manuale d uso e manutenzione

Manuale d uso e manutenzione 2 Serial I/F RS22 optoisolata Manuale d uso e manutenzione 5904517201 Codice ordine: Data: 04/2014 - Rev: 1.2 Sommario 1. Generalità... 2. Caratteristiche tecniche.... Modalità di configurazione... 4.

Dettagli

Architetture dei Calcolatori Interfacce

Architetture dei Calcolatori Interfacce Architetture dei Calcolatori Interfacce Ingegneria dell Automazione A.A. 2011/12 Anna Lina Ruscelli Sommario Organizzazione dello spazio di I/O Interfacce parallele di ingresso/uscita senza handshake con

Dettagli

Il sottosistema di I/O (Richiamo)

Il sottosistema di I/O (Richiamo) Il sottosistema di I/O (Richiamo) Il sottosistema di I/O consente la comunicazione fra il calcolatore ed il mondo esterno. Fanno parte del sottosistema i dispositivi (Unità di I/O) per la comunicazione

Dettagli

RETI DI CALCOLATORI E APPLICAZIONI TELEMATICHE

RETI DI CALCOLATORI E APPLICAZIONI TELEMATICHE RETI DI CALCOLATORI E APPLICAZIONI TELEMATICHE Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine 1999 Pier Luca Montessoro (si veda la nota a pagina 2) 1 Nota di Copyright

Dettagli

I seguenti luicidi sono tratti da: Paolo Corsini, Dalle porte AND OR NOT al sistema calcolatore, Edizioni ETS

I seguenti luicidi sono tratti da: Paolo Corsini, Dalle porte AND OR NOT al sistema calcolatore, Edizioni ETS I seguenti luicidi sono tratti da: Paolo Corsini, Dalle porte AND OR NOT al sistema calcolatore, Edizioni ETS Sommario Moduli di espansione di memoria Organizzazione dello spazio di I/O Interfacce parallele

Dettagli

GUIDA ALLE CONNESSIONI SERIALI PER IBM PC & COMPUTERS MACINTOSH

GUIDA ALLE CONNESSIONI SERIALI PER IBM PC & COMPUTERS MACINTOSH GUIDA ALLE CONNESSIONI SERIALI PER IBM PC & COMPUTERS MACINTOSH Sergio Aletta (aletta@mac.com) PAG. 1 Questa miniguida, vuole essere un aiuto alla realizzazione di cavi di connessione seriale ed adattatori,

Dettagli

Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO)

Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) 1^ parte Cosa è Arduino? Arduino è una piattaforma di sviluppo open-source basata su una semplice scheda

Dettagli

Progetto e realizzazione di un sistema che rileva la temperatura a distanza

Progetto e realizzazione di un sistema che rileva la temperatura a distanza Progetto e realizzazione di un sistema che rileva la temperatura a distanza Obiettivo e specifiche del progetto 1. Monitoraggio in tempo reale della temperatura in una stanza (Tacq= 4 sec, Input range=

Dettagli

CENNI SULLA TRASMISSIONE DIGITALE

CENNI SULLA TRASMISSIONE DIGITALE ENNI SULLA TRASMISSIONE DIGITALE Pietro Nicoletti www.studioreti.it DATAOM - opyright: si veda nota a pag. 2 Nota di opyright Questo insieme di trasparenze (detto nel seguito slides) è protetto dalle leggi

Dettagli

ISA Input / Output (I/O) Data register Controller

ISA Input / Output (I/O) Data register Controller ISA Input / Output (I/O) Numerose Periferiche di tanti tipi diversi, collegati alla CPU mediante BUS diversi. Solo Input (tastiera, mouse), producono dati che la CPU deve leggere. Solo Output (Schermo),

Dettagli

Strumentazione tradizionale vs. strumentazione virtuale

Strumentazione tradizionale vs. strumentazione virtuale Strumentazione tradizionale vs. strumentazione virtuale Strumento tradizionale: OPERATORE STRUMENTO interazione diretta tramite pannello di controllo e sistema di visualizzazione Strumento virtuale: OPERATORE

Dettagli

Page 1. ElapC8 04/11/2013 2013 DDC 1 ELETTRONICA APPLICATA E MISURE. C8: Esempi di collegamenti seriali. Ingegneria dell Informazione

Page 1. ElapC8 04/11/2013 2013 DDC 1 ELETTRONICA APPLICATA E MISURE. C8: Esempi di collegamenti seriali. Ingegneria dell Informazione Ingegneria dell Informazione C8: Esempi di collegamenti seriali ELETTRONICA APPLICATA E MISURE Dante DEL CORSO C8 Esempi di collegamenti seriali»spi» RS232»I2C» PCI Express»Esercizi Parametri di una interfaccia

Dettagli

22/09/2010. Rossato Alessio

22/09/2010. Rossato Alessio Hardware del PC roxale@hotmail.it 1 I componenti del computer Possiamo paragonare il computer al corpo umano che, come sappiamo, è formato da un elemento centrale, il busto, al quale sono collegati gli

Dettagli

Interfaccia Seriale RS232-C. prof. Cleto Azzani IPSIA Moretto Brescia

Interfaccia Seriale RS232-C. prof. Cleto Azzani IPSIA Moretto Brescia Interfaccia Seriale RS232-C prof. Cleto Azzani IPSIA Moretto Brescia Interfacce Seriali Interfacce Seriali Semplici RS-232 Utilizzate nelle comunicazioni punto-punto comunicazioni seriali più semplici

Dettagli

USART PIC 16F876. R/W-0 R/W-0 R/W-0 R/W-0 U-0 R/W-0 R-1 R/W-0 CSRC TX9 TXEN SYNC _ BRGH TRMT TX9D Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0

USART PIC 16F876. R/W-0 R/W-0 R/W-0 R/W-0 U-0 R/W-0 R-1 R/W-0 CSRC TX9 TXEN SYNC _ BRGH TRMT TX9D Bit 7 Bit 6 Bit 5 Bit 4 Bit 3 Bit 2 Bit 1 Bit 0 USART PIC 16F876 L USART (Universal Synchronous Asynchronous Receiver Trasmitter) è uno dei due moduli di I/O seriali, esso è conosciuto anche come Serial Comunications Interface (SCI). L USART può essere

Dettagli

Introduzione al collegamento telematico su linea commutata

Introduzione al collegamento telematico su linea commutata Introduzione al collegamento telematico su linea commutata Prof. Luca Salvini L.S. 2L97 Prof. Luca Salvini E-mail: salvini@hesp.it 1 Introduzione al collegamento telematico su linea commutata Perché il

Dettagli

INTERFACCIA STANDARD EIA RS232

INTERFACCIA STANDARD EIA RS232 INTERFACCIA STANDARD EIA RS232 La comunicazione su lunghe distanze (> 100m) viene espletata attraverso l uso dello standard RS232 il quale è stato sviluppato per poter proficuamente utilizzare le linee

Dettagli

Componenti principali. Programma cablato. Architettura di Von Neumann. Programma cablato. Cos e un programma? Componenti e connessioni

Componenti principali. Programma cablato. Architettura di Von Neumann. Programma cablato. Cos e un programma? Componenti e connessioni Componenti principali Componenti e connessioni Capitolo 3 CPU (Unita Centrale di Elaborazione) Memoria Sistemi di I/O Connessioni tra loro 1 2 Architettura di Von Neumann Dati e instruzioni in memoria

Dettagli

Componenti principali

Componenti principali Componenti e connessioni Capitolo 3 Componenti principali n CPU (Unità Centrale di Elaborazione) n Memoria n Sistemi di I/O n Connessioni tra loro Architettura di Von Neumann n Dati e instruzioni in memoria

Dettagli

Le comunicazioni seriali RS232

Le comunicazioni seriali RS232 Le comunicazioni seriali Le comunicazioni seriali richiedono un minimo di due connessioni elettriche per comunicare dati in una direzione. Una linea dati (generalmente o di trasmissione o di ricezione)

Dettagli

Concetti base di acquisizione dati

Concetti base di acquisizione dati Introduzione (concetti base) e Registratore (di ) digitale Gestione di un semplice circuito con la porta Misure di tempo 1 / 21 analogici Una grandezza fisica che fornisce informazioni sullo stato di un

Dettagli

EEPROM SERIALI IN I2C BUS.

EEPROM SERIALI IN I2C BUS. Corso di BASCOM 8051 - (Capitolo 3 0 ) Corso Teorico/Pratico di programmazione in BASCOM 8051. Autore: DAMINO Salvatore. EEPROM SERIALI IN I2C BUS. Tra i dispositivi più utilizzati, nelle applicazioni

Dettagli

Componenti e connessioni. Capitolo 3

Componenti e connessioni. Capitolo 3 Componenti e connessioni Capitolo 3 Componenti principali CPU (Unità Centrale di Elaborazione) Memoria Sistemi di I/O Connessioni tra loro Architettura di Von Neumann Dati e instruzioni in memoria (lettura

Dettagli

Esercizi svolti e da svolgere sugli argomenti trattati nella lezione 25

Esercizi svolti e da svolgere sugli argomenti trattati nella lezione 25 Esercizi svolti e da svolgere sugli argomenti trattati nella lezione 25 Esercizi svolti Es.. Si progetti in dettaglio il circuito che, dati quattro registri sorgente Si e quattro registri destinazione

Dettagli

Trasmissione Seriale e Parallela. Esempio di Decodifica del Segnale. Ricezione e Decodifica. Tecniche di Comunicazione di Dati Digitali

Trasmissione Seriale e Parallela. Esempio di Decodifica del Segnale. Ricezione e Decodifica. Tecniche di Comunicazione di Dati Digitali Università degli studi di Salerno Laurea in Informatica I semestre 04/05 Tecniche di Comunicazione di Dati Digitali Prof. Vincenzo Auletta auletta@dia.unisa.it http://www.dia.unisa.it/professori/auletta/

Dettagli

Specifiche del protocollo di comunicazione semplificato per il modulo seriale di I/O

Specifiche del protocollo di comunicazione semplificato per il modulo seriale di I/O Specifiche del protocollo di comunicazione semplificato per il modulo seriale di I/O Architettura del frame di comunicazione Il frame di comunicazione è lungo 13 bytes ed ha questo formato nell ordine

Dettagli

TRASMISSIONE DATI tra PC e CN (nozioni teoriche essenziali)

TRASMISSIONE DATI tra PC e CN (nozioni teoriche essenziali) TRASMISSIONE DATI tra PC e CN (nozioni teoriche essenziali) (versione Dicembre 2002) Appunti ad esclusivo uso interno ITIS VARESE - specializzazione meccanici. Sistema binario: sistema numerico costituito

Dettagli

EFA Automazione S.r.l. Serial Bridge 3 - Modbus RTU slave to PICnet (01 ITA).doc pag. 1 / 8

EFA Automazione S.r.l. Serial Bridge 3 - Modbus RTU slave to PICnet (01 ITA).doc pag. 1 / 8 EFA Automazione S.r.l. Serial Bridge 3 - Modbus RTU slave to PICnet (01 ITA).doc pag. 1 / 8 Applicazione Integrazione di reti PICnet mediante l interfacciamento del modulo master (PN MAS dotato di porta

Dettagli

PXG80-W. Siemens Building Technologies Building Automation. DESIGO PX Controllore Web. con porta modem

PXG80-W. Siemens Building Technologies Building Automation. DESIGO PX Controllore Web. con porta modem 9 232 DESIGO PX Controllore Web con porta modem PXG80-W Per remotizzare le operazioni e la visualizzazione di uno o più DESIGO PX tramite un Internet browser standard.? Operazioni e visualizzazione delle

Dettagli

Connessione Rs232 Optoisolata Con Alimentazione Su Scritto da Hansel123-20/04/ :13

Connessione Rs232 Optoisolata Con Alimentazione Su Scritto da Hansel123-20/04/ :13 Connessione Rs232 Optoisolata Con Alimentazione Su Scritto da Hansel123-20/04/2012 17:13 Ciao Ragazzi, devo realizzare una connessione RS232 tra i seguenti due dispositivi embedded: BTicino MYHOme L4686SDK

Dettagli

CALCOLATORI ELETTRONICI II

CALCOLATORI ELETTRONICI II CALCOLATORI ELETTRONICI II L INTERFACCIA PARALLELA Argomenti della lezione Le interfacce parallele Il dispositivo Intel 855 Architettura Funzionamento Le interfacce parallele Esempio Le interfacce parallele

Dettagli

Laboratorio di metodi di acquisizione dati. Giorgio Maggi

Laboratorio di metodi di acquisizione dati. Giorgio Maggi Laboratorio di metodi di acquisizione dati Giorgio Maggi Possibili esperienze Lettura di un oscilloscopio attraverso il Bus GPIB Realizzazione di un plot tensione corrente per un dispositivo a semiconduttore

Dettagli

MANUALE OPERATIVO LETTORE / SCRITTORE MIFARE RDM1 versione OEM

MANUALE OPERATIVO LETTORE / SCRITTORE MIFARE RDM1 versione OEM 5'0 OEM MANUALE OPERATIVO LETTORE / SCRITTORE MIFARE RDM1 versione OEM Ver.2.0 Data 16/11/2007 Luogo Caivano- NAPOLI SOMMARIO,1752'8=,21( BBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBBB

Dettagli

Interfacce parallele

Interfacce parallele Interfacce parallele Con interfacce seriali trasmissione di un bit alla volta Velocità bassa Per aumentare la velocità due strade: Aumento del bit rate Invio contemporaneo di più bit Tipico invio di 8

Dettagli

Resuscitare un router DLink-604+

Resuscitare un router DLink-604+ Resuscitare un router DLink-604+ Vincenzo La Spesa 2006 Copyright (c) 2006 by Vincenzolaspesa@gmail.com.This material may be distributed only subject to the terms and conditions set forth in the Open Publication

Dettagli

Da RS232 a RS485 Manuale dell'utente

Da RS232 a RS485 Manuale dell'utente Da RS232 a RS485 Manuale dell'utente DA-70161 I. Indice Per portare a termine una comunicazione digitale remota tra computer con dispositivi di convertitori di interfaccia di serie standard o strumenti

Dettagli

REI 2 Manuale d uso. Per i dettagli sulle modalità di collegamento del sistema LinkGate vedere appendice B

REI 2 Manuale d uso. Per i dettagli sulle modalità di collegamento del sistema LinkGate vedere appendice B Pagina 6 di 70 Per i contatti N/A il contatto viene ritenuto chiuso a fronte di una caduta di tensione di almeno,8 volt per la durata di /0.000s e riaperto con una caduta di,v per /.000s, mentre per il

Dettagli

Reti logiche (2) Circuiti sequenziali

Reti logiche (2) Circuiti sequenziali Reti logiche (2) Circuiti sequenziali 1 Un ripasso Algebra booleana: operatori, postulati, identità, operatori funzionalmente completi Circuiti combinatori: tabelle di verità, porte logiche Decodificatore

Dettagli

L uso di questi dispositivi é rivolto - IN VIA ESCLUSIVA - a personale specializzato.

L uso di questi dispositivi é rivolto - IN VIA ESCLUSIVA - a personale specializzato. ITALIAN TECHNOLOGY grifo INTRODUZIONE L uso di questi dispositivi é rivolto - IN VIA ESCLUSIVA - a personale specializzato. Scopo di questo manuale é la trasmissione delle informazioni necessarie all uso

Dettagli

Rev 3.0. S I N A P S I S. r. l. V i a d e l l e q u e r c e 1 1 / 1 3

Rev 3.0. S I N A P S I S. r. l. V i a d e l l e q u e r c e 1 1 / 1 3 S I N A P S I S. r. l. V i a d e l l e q u e r c e 1 1 / 1 3 0 6 0 8 3 B a s t i a U m b r a ( P G ) I t a l y T. + 3 9. 0 7 5. 8 0 1 1 6 0 4 F. + 3 9. 0 7 5. 8 0 1 4 6 0 2 Rev 3.0 1 INDICE 1. M-BUS OVERVIEW...

Dettagli

ST11630 MANUALE UTENTE. 16 canali. Luglio rev ST1 16 ch. Hardware&Software 1

ST11630 MANUALE UTENTE. 16 canali. Luglio rev ST1 16 ch. Hardware&Software 1 ST11630 16 canali MANUALE UTENTE rev. 0.8 Luglio 2017 ST1 16 ch. Hardware&Software 1 Indice 1 NOTE GENERALI...3 1.1 Introduzione...3 2 CARATTERISTICHE HARDWARE...5 2.1 Dip-switchs...7 2.2 Input analogici...8

Dettagli

Protocolli di Comunicazione Elettronica dei Sistemi Digitali L-A Università di Bologna, Cesena

Protocolli di Comunicazione Elettronica dei Sistemi Digitali L-A Università di Bologna, Cesena Protocolli di Comunicazione Elettronica dei Sistemi Digitali L-A Università di Bologna, Cesena Aldo Romani A.a. 2005-2006 UART UART Universal Asynchronous Receiver/Transmitter Clock implicito e trasmissione

Dettagli

Trasmissione Seriale e Parallela. Interfacce di Comunicazione. Esempio di Decodifica del Segnale. Ricezione e Decodifica. Prof.

Trasmissione Seriale e Parallela. Interfacce di Comunicazione. Esempio di Decodifica del Segnale. Ricezione e Decodifica. Prof. Interfacce di Comunicazione Università degli studi di Salerno Laurea in Informatica I semestre 03/04 Prof. Vincenzo Auletta auletta@dia.unisa.it http://www.dia.unisa.it/professori/auletta/ 2 Trasmissione

Dettagli

DIGITALE IN HF RTTY & PSK31

DIGITALE IN HF RTTY & PSK31 & PSK31 DIGITALE IN HF RTTY Cosa serve? Un transceiver HF Un PC (anche con WIN95!) Una scheda audio Programmi per RTTY o PSK 31 Una interfaccia molto semplice Alcuni cavetti per le connessioni Gli apparati

Dettagli

Descrizione Generale. Applicazioni. Caratteristiche generali WIZ-869-TRS

Descrizione Generale. Applicazioni. Caratteristiche generali WIZ-869-TRS Descrizione Generale Il ricetrasmettitore WIZ-869-TRS rappresenta una soluzione semplice al problema della ricetrasmissione di dati a radiofrequenza: l impiego di un microprocessore embedded consente infatti

Dettagli

Scheda d Espansione Integrata

Scheda d Espansione Integrata Scheda d Espansione Integrata 4 Ingressi 4 Uscite Manuale Tecnico Via Vasanello 23, 0089 Roma Tel. 06.3036333 Fax 06.3035023 www.vidia.it info@vidia.it . Introduzione Questo scheda d espansione input/output

Dettagli

COMUNICAZIONE SERIALE

COMUNICAZIONE SERIALE LA COMUNICAZIONE SERIALE Cod. 80034-02/2000 1 INDICE GENERALE Capitolo 1 Introduzione alla comunicazione seriale Pag. 3 Capitolo 2 Protocollo CENCAL Pag. 19 Capitolo 3 Protocollo MODBUS Pag. 83 2 CAPITOLO

Dettagli

L'interfaccia seriale RS-232

L'interfaccia seriale RS-232 L'interfaccia seriale RS-232 L'interfaccia seriale RS232 è uno standard costituito da una serie di protocolli meccanici, elettrici ed informatici che rendono possibile lo scambio di informazioni a bassa

Dettagli

M1410 Ingresso/Uscita parallelo

M1410 Ingresso/Uscita parallelo M1410 Ingresso/Uscita parallelo Descrizione tecnica Eiserstraße 5 Telefon 05246/963-0 33415 Verl Telefax 05246/963-149 Data: 12.7.95 Versione : 2.0 pagina 1 di 13 M1410 Ingresso/uscita parallelo Indice

Dettagli

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione.

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. Dispensina per gli studenti di Ingegneria Gestionale. A.A.

Dettagli

Sistemi Operativi. Sistemi I/O SISTEMI DI INPUT/OUTPUT. Hardware di I/O. Interfaccia di I/O per le applicazioni. Sottosistema per l I/O del kernel

Sistemi Operativi. Sistemi I/O SISTEMI DI INPUT/OUTPUT. Hardware di I/O. Interfaccia di I/O per le applicazioni. Sottosistema per l I/O del kernel SISTEMI DI INPUT/OUTPUT 10.1 Sistemi I/O Hardware di I/O Interfaccia di I/O per le applicazioni Sottosistema per l I/O del kernel Trasformazione delle richieste di I/O Stream Prestazioni 10.2 I/O Hardware

Dettagli

R. Cusani, F. Cuomo: Telecomunicazioni - DataLinkLayer: Gestione degli errori, Aprile 2010

R. Cusani, F. Cuomo: Telecomunicazioni - DataLinkLayer: Gestione degli errori, Aprile 2010 1 11. Data link layer: codici di rilevazione di errore, gestione degli errori La rilevazione di errore Un codice a rilevazione di errore ha lo scopo di permettere al ricevente di determinare se vi sono

Dettagli

Sistemi Operativi SISTEMI DI INPUT/OUTPUT. D. Talia - UNICAL. Sistemi Operativi 10.1

Sistemi Operativi SISTEMI DI INPUT/OUTPUT. D. Talia - UNICAL. Sistemi Operativi 10.1 SISTEMI DI INPUT/OUTPUT 10.1 Sistemi I/O Hardware di I/O Interfaccia di I/O per le applicazioni Sottosistema per l I/O del kernel Trasformazione delle richieste di I/O Stream Prestazioni 10.2 I/O Hardware

Dettagli

Controllo comunicazioni

Controllo comunicazioni Controllo comunicazioni Il controllo comunicazioni consente di aggiungere nell'applicazione funzioni semplici per comunicazioni attraverso porte seriali nonché funzioni avanzate per la creazione di uno

Dettagli

Descrizione caratteristiche cilindri elettrici serie ECC+

Descrizione caratteristiche cilindri elettrici serie ECC+ Descrizione caratteristiche cilindri elettrici serie ECC+ Tipologie e potenzialità nuovo cilindro elettrico in cc 12/24V della famiglia EASY tipo ECC + Caratteristiche generali del sistema: Alimentazione

Dettagli

I dispositivi di input/output

I dispositivi di input/output I dispositivi di input/output I dispositivi di input/output (anche detti periferiche), permettono di realizzare l'interazione tra l'uomo e la macchina La loro funzione primaria è quella di consentire l'immissione

Dettagli

ISTITUTO NAZIONALE DI FISICA NUCLEARE

ISTITUTO NAZIONALE DI FISICA NUCLEARE ISTITUTO NAZIONALE DI FISICA NUCLEARE Sezione di Trieste INFN/TCN-03/001 24 Luglio 2003 SCHEDA I/O PER PORTA PARALLELA EPP Pietro Cristaudo 1 1) INFN-Sezione di Trieste, Laboratorio di Elettronica Sommario

Dettagli

Sch ed e Ser ie RD7 7

Sch ed e Ser ie RD7 7 Sch ed e Ser ie RD7 7 Manuale di installazione ed uso. 1) Descrizione generale 2) Installazione 3) Configurazione 4) Programmazione 5) Caratteristiche elettriche @ 2001 sas Corso Kennedy 32/21-10098 Rivoli

Dettagli

Contatore asincrono esadecimale

Contatore asincrono esadecimale Contatore asincrono esadecimale Il contatore asincrono è un circuito composto da un generatore di onde quadre (clock), quattro Flip Flop JK con Preset e Clear attivi a fronte logico basso. Preset, J e

Dettagli

Tecniche di Comunicazione Digitale

Tecniche di Comunicazione Digitale Tecniche di Comunicazione Digitale Sommario Problematiche Generali Comunicazioni Parallele Varianti Trasmissione Isocrona, Asincrona e Sincrona Segnali, codifica e modulazione Gestione degli errori - 2-1

Dettagli

Gateway KNX/DALI 64/16 - da guida DIN GW Manuale Tecnico

Gateway KNX/DALI 64/16 - da guida DIN GW Manuale Tecnico Gateway KNX/DALI 64/16 - da guida DIN GW 90872 Manuale Tecnico 1 Sommario 1 Introduzione... 3 2 Applicazione... 3 2.1 Limiti delle associazioni... 3 3 Menù Generale... 4 3.1 Parametri... 4 3.2 Oggetti

Dettagli

Schede di comunicazione per il controllore di processo PRV2...

Schede di comunicazione per il controllore di processo PRV2... s Luglio 1996 8 481 Schede di comunicazione per il controllore di processo PRV2... PEC1... Scala 1 : 2 Schede di comunicazione con morsettiera per il controllore di processo PRV2... per comunicazione su

Dettagli

Hub adattatore USB a seriale 2 porte, con interfaccia COM e possibilità di montaggio a parete

Hub adattatore USB a seriale 2 porte, con interfaccia COM e possibilità di montaggio a parete Hub adattatore USB a seriale 2 porte, con interfaccia COM e possibilità di montaggio a parete Product ID: ICUSB2322X L'adattatore professionale USB seriale a 2 porte ICUSB2322X di StarTech.com consente

Dettagli

G L O S S A R I O. Fondamenti di Informatica I - Università degli Studi di Trento Dott. Roberti Pierluigi

G L O S S A R I O. Fondamenti di Informatica I - Università degli Studi di Trento Dott. Roberti Pierluigi G L O S S A R I O BIT: acronimo di Binary Digit. E l unità elementare di informazione. Può assumere solo il valore 0 o 1. CALCOLATORE: macchina che opera la trasformazione dei dati (informazioni) HARDWARE:

Dettagli

Comunicazioni seriali (parte seconda)

Comunicazioni seriali (parte seconda) Comunicazioni seriali (parte seconda) Antonio Elio Marino ITIS Marconi Pontedera (PI) 19 marzo 2017 versione 1.0 Contents 1 RS-232 2 1.1 Trasmissione............................... 3 1.2 Ricezione................................

Dettagli

Resuscitare un router DLink-604+

Resuscitare un router DLink-604+ Resuscitare un router DLink-604+ v1.1.0 Copyright (c) 2006 by Ahasvero@users.sourceforge.net.This material may be distributed only subject to the terms and conditions set forth in the Open Publication

Dettagli

Il protocollo DMX Elettronica In. DESCRIZIONE minimo tipico massimo unità di misura. BREAK microsecondi. MAB miceosecondi

Il protocollo DMX Elettronica In. DESCRIZIONE minimo tipico massimo unità di misura. BREAK microsecondi. MAB miceosecondi Teoria e pratica del protocollo DMX512 utilizzato negli impianti di illuminazione per controllare sia la luminosità delle lampade che i movimenti dei sistemi più sofisticati, dagli scanner ai dispositivi

Dettagli

Tecniche Automatiche di Acquisizione Dati

Tecniche Automatiche di Acquisizione Dati Tecniche Automatiche di Acquisizione Dati Trasmissione delle informazioni Fabio Garufi - TAADF 2005-2006 1 Generalità La trasmissione delle informazioni tra i componenti di un medesimo elaboratore o fra

Dettagli

cpu CONTROLLORI DI I/O All interno della periferica registri o parole di stato e memoria ADDRESS BUS CONTROL BUS DATA BUS D[0:7] dec CS# RD# WR#

cpu CONTROLLORI DI I/O All interno della periferica registri o parole di stato e memoria ADDRESS BUS CONTROL BUS DATA BUS D[0:7] dec CS# RD# WR# CONTROLLORI DI I/O ADDRESS BUS CONTROL BUS DATA BUS dec D[0:7] CS# RD# WR# A0,..An RESET...? cpu Controllori di I/O (anche detti periferiche): Due interfacce: - 1 verso la CPU, standard BTU=Bus Transfer

Dettagli

Guida all installazione dell interfaccia per PC

Guida all installazione dell interfaccia per PC Guida all installazione dell interfaccia per PC Schema delle connessioni tra joystic e circuito La carrozzina è dotata di un joystic collegato tramite un singolo cavo (che il costruttore chiama DXBUS)

Dettagli

Laboratorio di metodi di acquisizione dati. Giorgio Maggi

Laboratorio di metodi di acquisizione dati. Giorgio Maggi Laboratorio di metodi di acquisizione dati Giorgio Maggi Sommario La conversione Digitale analogica I vari tipi di ADC L SNR e ENOB Il Time to Digital converter L Input-Output Register Il sistema di acquisizione

Dettagli

Interfaccia RS 232 Tipo: x-101

Interfaccia RS 232 Tipo: x-101 L interfaccia seriale viene utilizzata per collegare un PC all EIB e si monta su un dispositivo di accoppiamento bus da incasso. Può essere collocata in qualunque posizione del sistema. Si collega al PC

Dettagli

CONTATORE DI ENERGIA TRIFASE INSERZIONE DIRETTA PM30D01KNX. Manuale D Uso

CONTATORE DI ENERGIA TRIFASE INSERZIONE DIRETTA PM30D01KNX. Manuale D Uso CONTATORE DI ENERGIA TRIFASE INSERZIONE DIRETTA PM30D01KNX Manuale D Uso Prodotto: PM30D01KNX Descrizione CONTATORE DI ENERGIA TRIFASE INSERZONE DIRETTA Documento Versione: 1.1 Data: 04/10/2016 1/8 INDICE

Dettagli

Sottosistemi ed Architetture Memorie

Sottosistemi ed Architetture Memorie Sottosistemi ed Architetture Memorie CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II La memoria centrale Memoria centrale: array di

Dettagli

Modem. Cosa non è un modem. xdsl. Fabio Proietti (c) 2014 Licenza:

Modem. Cosa non è un modem. xdsl. Fabio Proietti (c) 2014 Licenza: Modem Quando si vuole fare una connessione alla rete Internet si può usare un modem. Il nome "modem" è stato ottenuto unendo insieme due parole: mo-dulazione e dem-odulazione. Il modem è un dispositivo

Dettagli

Le linee seriali. Nomenclatura. Linee Seriali. M. Parvis 1

Le linee seriali. Nomenclatura. Linee Seriali. M. Parvis 1 Le linee seriali Marco Parvis Politecnico di Torino Dipartimento di Elettronica Corso Duca degli Abruzzi, 24 10129 Torino Tel. + 39 11 564 4114 Fax + 39 11 564 4099 E-mail: marco.parvis@polito.it 1 Nomenclatura

Dettagli

Controllo dell irrigazione senza fili

Controllo dell irrigazione senza fili Introduzione Oggi è possibile sostituire il comando delle valvole di irrigazione effettuato via cavo con un comando via radio ottenendo una serie indiscutibile di vantaggi: È molto improbabile il verificarsi

Dettagli

M2400 Uscita analogica

M2400 Uscita analogica M2400 Uscita analogica Descrizione tecnica Eiserstraße 5 Telefon 05246/963-0 33415 Verl Telefax 05246/963-149 Data : 12.7.95 Versione: 2.0 pagina 1 di 15 M2400 Uscita analogica Indice 1. Descrizione del

Dettagli

Conversione Analogico/Digitale

Conversione Analogico/Digitale Conversione Analogico/Digitale Le grandezze fisiche che vogliamo misurare variano con continuità in un dato intervallo ed in funzione del tempo: sono descrivibili come una funzione continua di variabile

Dettagli

BREVE DESCRIZIONE SULL USO DI VISUAL BASIC 6

BREVE DESCRIZIONE SULL USO DI VISUAL BASIC 6 Ettore Panella Porta Seriale RS232C e Visual Basic 6 1 BREVE DESCRIZIONE SULL USO DI VISUAL BASIC 6 Avviato Visual Basic prima di accedere all ambiente di lavoro viene richiesto se si desidera aprire un

Dettagli

CONTATORE/TIMER PROGRAMMABILE CTC Z80 1. Piedinatura 2. Struttura interna 4. Modo timer 5. Modo Counter 8. Programmazione del CTC 13

CONTATORE/TIMER PROGRAMMABILE CTC Z80 1. Piedinatura 2. Struttura interna 4. Modo timer 5. Modo Counter 8. Programmazione del CTC 13 CONTATORE/TIMER PROGRAMMABILE CTC Z80 1 Piedinatura 2 Struttura interna 4 Modo timer 5 Modo Counter 8 Programmazione del CTC 13 Gerarchia di priorità delle interruzioni 17 Interfacciamento 17 Contatore/Timer

Dettagli

Controllo MSComm (comunicazioni)

Controllo MSComm (comunicazioni) Controllo MSComm (comunicazioni) Il controllo MSComm (comunicazioni) fornisce all'applicazione funzioni per le comunicazioni seriali, consentendo la trasmissione e la ricezione di dati tramite una porta

Dettagli

Versione N 1.0c. LaurTec. Il Protocollo RS232. Autore : Mauro Laurenti. ID: AN4003-IT. Copyright 2006 Mauro Laurenti 1/9

Versione N 1.0c. LaurTec. Il Protocollo RS232. Autore : Mauro Laurenti.   ID: AN4003-IT. Copyright 2006 Mauro Laurenti 1/9 Versione N 1.0c LaurTec Il Protocollo RS232 Autore : Mauro Laurenti email: info.laurtec@gmail.com ID: AN4003-IT Copyright 2006 Mauro Laurenti 1/9 INFORMATIVA Come prescritto dall'art. 1, comma 1, della

Dettagli

Università degli Studi di Cassino

Università degli Studi di Cassino di assino orso di alcolatori Elettronici I Elementi di memoria e registri Anno Accademico 27/28 Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario utilizzare

Dettagli

Lezione 15. L elaboratore Elettronico

Lezione 15. L elaboratore Elettronico Lezione 15 Architettura di un calcolatore L elaboratore Elettronico Un elaboratore elettronico è una macchina elettronica in grado di elaborare dati secondo le specifiche fornite da un algoritmo Internamente

Dettagli