I Indice. Prefazione. Capitolo 1 Introduzione 1

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "I Indice. Prefazione. Capitolo 1 Introduzione 1"

Transcript

1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione Algebra di Boole Proprietà dell algebra Algebra di commutazione Funzioni ed espressioni Espressioni booleane Funzioni booleane Tabella della verità Funzioni non completamente specificate Forme canoniche Teorema di espansione Prima forma canonica Seconda forma canonica Espressioni e proprietà dell algebra Porte logiche Operatori funzionalmente completi: NAND e NOR Altre porte logiche Circuiti logici Esercizi Capitolo 3 La codifica dell informazione Codici e codifiche Codici numerici Rappresentazione dei numeri naturali Codifica naturale

2 vi Indice 3.4 Rappresentazione dei numeri relativi Codifica in modulo e segno Codifica in complemento alla base Codifica in complemento alla base diminuita Rappresentazione dei numeri razionali Codifica in virgola fissa Codifica in virgola mobile Standard IEEE Altri codici Binary-coded decimal (BCD) Gray One-hot Aritmetica binaria Somma e sottrazione in codifica binaria naturale Somma algebrica in codifica modulo e segno Somma algebrica in complemento a Somma algebrica in complemento a Moltiplicazione in codifica binaria naturale Moltiplicazione in codifica modulo e segno Moltiplicazione in complemento a Esercizi Capitolo 4 Reti combinatorie Introduzione Formalizzazione della specifica Sintesi Prima forma canonica Seconda forma canonica Funzioni non completamente specificate Minimizzazione esatta Metodo delle mappe di Karnaugh Metodo di Quine-McCluskey Metodi di supporto alla copertura Minimizzazione euristica di reti a due livelli Approccio iterativo Descrizione del problema e soluzione iniziale Trasformazioni Minimizzazione euristica di reti su più livelli Modello di riferimento

3 Indice vii Trasformazioni Applicazione delle trasformazioni Esercizi Capitolo 5 Circuiti combinatori speciali Reti combinatorie di base Multiplexer Demultiplexer Decoder Priority encoder Sommatori e sottrattori Sommatori ripple-carry Sommatori carry look-ahead Sommatori carry-save Sommatori misti Sommatori / sottrattori Complementatori Complemento a uno Complemento a due Comparatori Comparatori di uguaglianza Comparatori generici Moltiplicatori Unità aritmetico logiche Multiplexed ALU Bit-sliced ALU Capitolo 6 Macchine a stati finiti Introduzione Macchina di Moore e macchina di Mealy Modelli per le macchine a stati finiti Grafo di transizione dello stato Tabella di transizione dello stato o tabella degli stati Modello di Huffman Rete logica sincrona Differenze tra modelli comportamentali e modelli comportamentali/strutturali Procedura di trasformazione dei modelli

4 viii Indice Procedura per il passaggio da una macchina di Moore a una di Mealy Procedura per il passaggio da una macchina di Mealy a una di Moore Esercizi Capitolo 7 Bistabili Introduzione Classificazione basata sulla modalità di sincronizzazione Latch SR asincrono Latch SR sincrono Flip-flop master-slave Flip-flop data lock-out Flip-flop edge-triggered Classificazione basata sul tipo Tipo SR Tipo D Tipo JK Tipo T Temporizzazione e metastabilità Ingressi asincroni di preset e clear Analisi di funzionamento temporale Esercizi Capitolo 8 Sintesi delle macchine a stati finiti I passi della fase di progetto Dalla specifica al diagramma dello stato Stato di partenza Modelli di Mealy e di Moore Sequenza di interesse e completamento delle transizioni Dal diagramma di stato alla tabella degli stati Codifica dello stato Codifica a distanza minima Codifica a priorità Codifica basata sull uscita Dalla tabella delle transizioni di stato codificato alla tabella delle eccitazioni Riepilogo

5 Indice ix Esercizi Capitolo 9 Ottimizzazione delle macchine a stati finiti Introduzione Macchine completamente specificate Macchine non completamente specificate Esercizi Capitolo 10 Ottimizzazione strutturale Introduzione Retiming Modello per il retiming Aspetti generali Minimizzazione del periodo di clock Minimizzazione dell area Pipelining ottimo Peripheral retiming Esercizi Capitolo 11 Circuiti sequenziali speciali Registri Registro parallelo/parallelo Registro serie/parallelo Registro serie/serie Registro serie/serie circolare Registro parallelo/serie Contatori Progettazione comportamentale dei contatori Progettazione strutturale dei contatori Contatori veloci Bibliografia 365

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

PIANO DI LAVORO DEI DOCENTI

PIANO DI LAVORO DEI DOCENTI Pag. 1 di 5 Docente: Materia insegnamento: ELETTRONICA GENERALE Dipartimento: Anno scolastico: ELETTRONICA ETR Classe 1 Livello di partenza (test di ingresso, livelli rilevati) Il corso richiede conoscenze

Dettagli

Livello logico digitale

Livello logico digitale Livello logico digitale circuiti combinatori di base e circuiti sequenziali Half Adder - Semisommatore Ingresso 2 bit, uscita 2 bit A+ B= ------ C S C=AB S=AB + AB=A B A B In Out HA A B C S S HA A C S

Dettagli

Antonio D'Amore I CIRCUITI DI COMMUTAZIONE

Antonio D'Amore I CIRCUITI DI COMMUTAZIONE Antonio D'Amore I CIRCUITI DI COMMUTAZIONE INDICE CAPITOLO I - SISTEMI DI NUMERAZIONE E CODICI 1.1) Sistema di numerazione decimale. 1 1.2) Sistemi di numerazione a base qualsiasi. 1 1.3) Conversione tra

Dettagli

Sistemi Combinatori & Mappe di Karnaugh

Sistemi Combinatori & Mappe di Karnaugh Sistemi Combinatori & Mappe di Karnaugh AB E=0 F=0 E=1 F=0 00 01 11 10 AB 00 01 11 10 00 1 0 0 0 00 0 0 0 0 01 0 0 0 0 01 0 0 0 0 11 0 0 1 0 11 0 0 1 0 10 0 0 0 1 10 0 0 0 1 AB 00 01 11 10 AB 00 01 11

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 Caratteristiche elettriche dei materiali Leggi di Ohm Generatori di tensione e di corrente Resistori in serie e in parallelo Partitori di tensione e di corrente

Dettagli

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome ESAME di PROGETTAZIONE di SISTEMI DIGITALI 11 Febbraio 2016 FILA A Nome e Cognome Esercizio 1 (6 punti). Si considerino otto registri R 1... R 8. Si progetti una rete di interconnessione tale che: se R

Dettagli

Saper definire le grandezze elettriche, utilizzare le unità di misura e saper utilizzare multipli e sottomultipli delle grandezze nei calcoli

Saper definire le grandezze elettriche, utilizzare le unità di misura e saper utilizzare multipli e sottomultipli delle grandezze nei calcoli Programma preventivo di ELETTRONICA e ELETTROTECNICA articolazione ELETTRONICA a.s. 2014-15 Classe: 3 A ELETTRONICA e ELETTROTECNICA Docenti : Francesco Dell Aquila Fabio Pedretti Bibliografia: Elettrotecnica

Dettagli

Istituto Tecnico Industriale Statale G. Marconi

Istituto Tecnico Industriale Statale G. Marconi Istituto Tecnico Industriale Statale G. Marconi Via Milano, 1-56025 Pontedera (Pisa) Tel. 0587 / 53566-55390 Fax 0587/57411 : iti@marconipontedera.i t - WEB: www.marconipontedera.it cod. fisc. 81002020501

Dettagli

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali - I circuiti sequenziali sono caratterizzati dal fatto che, in un dato istante tn+1 le uscite dipendono dai livelli logici di ingresso nell'istante tn+1 ma anche dagli stati assunti

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

COMPITO A. Esercizio 1 (17 punti)

COMPITO A. Esercizio 1 (17 punti) Esercizio (7 punti) COMPITO A Si hanno a disposizione due registri sorgente S e S da 6 bit che contengono reali memorizzati in rappresentazione a virgola mobile normalizzata : il primo bit (b ) rappresenta

Dettagli

Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis. Algebra booleana. Operatori logici di base P AND Q = P Q

Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis. Algebra booleana. Operatori logici di base P AND Q = P Q Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis Corso di Laurea in Ingegneria Elettronica Capitolo 2 Reti Logiche Fonti principali: Appunti del Docente; Stallings, W., "Architettura e organizzazione

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone alcolatori ElettroniciIngegneria Telematica Sintesi di Reti Sequenziali Sincrone na macchina sequenziale è definita dalla quintupla δ, λ) dove: I è l insieme finito

Dettagli

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS)

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS) Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS) Problema: Data una tabella di flusso (TdF) contraddistinta da un numero arbitrario N di stati s 1, s 2,, s N, individuare

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Circuiti sequenziali: macchine a stati finiti

Circuiti sequenziali: macchine a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Circuiti sequenziali: macchine a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di Milano

Dettagli

Programmazione modulare a.s.2015-2016

Programmazione modulare a.s.2015-2016 Programmazione modulare a.s.015-016 Indirizzo:Informatica \Disciplina: Telecomunicazioni Prof. MAIO Patrizia Rosi Filippo Classe:3 A 3 B Informatica ore settimanali 3 di cui di laboratorio) Libro di testo:telecomunicazioni

Dettagli

Indice Introduzione Avviso importante per i lettori Capitolo 1 Apparecchi di manovra, di protezione e sensori

Indice Introduzione Avviso importante per i lettori Capitolo 1 Apparecchi di manovra, di protezione e sensori Indice Introduzione Avviso importante per i lettori Capitolo 1 Apparecchi di manovra, di protezione e sensori 1 1.1 Il teleruttore: caratteristiche e funzionamento 1 1.2 Il teleruttore compatto 2 1.3 I

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli

CALCOLATORI ELETTRONICI

CALCOLATORI ELETTRONICI DIPARTIMENTO DI INGEGNERIA ELETTRICA ELETTRONICA E INFORMATICA Corso di laurea in Ingegneria informatica Anno accademico 2016/2017-3 anno CALCOLATORI ELETTRONICI 9 CFU - 1 semestre Docente titolare dell'insegnamento

Dettagli

PROGRAMMAZIONE MODULARE

PROGRAMMAZIONE MODULARE PROGRAMMAZIONE MODULARE ANNO SCOLASTICO 2013-2014 Indirizzo: ELETTROTECNICA - SIRIO Disciplina: ELETTRONICA Classe: 3^ Sezione: AES Numero di ore settimanali: 2 ore di teoria + 2 ore di laboratorio Modulo

Dettagli

PROGRAMMA SVOLTO E L E T T R O N I C A Anno Scolastico 2014/2015 Classe III Ae Prof. Boldrini Renato Prof. Procopio Sostene

PROGRAMMA SVOLTO E L E T T R O N I C A Anno Scolastico 2014/2015 Classe III Ae Prof. Boldrini Renato Prof. Procopio Sostene PROGRAMMA SVOLTO E L E T T R O N I C A Anno Scolastico 2014/2015 Classe III Ae Prof. Boldrini Renato Prof. Procopio Sostene LIBRI DI TESTO: Autore: Conte/Ceserani/Impallomeni Titolo: ELETTRONICA ED ELETTROTECNICA

Dettagli

I CONTATORI. Definizioni

I CONTATORI. Definizioni I CONTATORI Definizioni. I contatori sono dispositivi costituiti da uno o più flip-flop collegati fra loro in modo da effettuare il conteggio di impulsi applicati in ingresso. In pratica, i flip-flop,

Dettagli

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A

IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A IIS Via Silvestri ITIS Volta Programma svolto di Tecnologie Informatiche A.S. 2015/16 Classe 1 A Modulo n 1 - Concetti informatici di base 1.1 Introduzione allo studio del computer 1.2 Rappresentazione

Dettagli

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND.

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND. 1 Elementi di memoria: flip-flop e registri Porte logiche elementari CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Livello fisico

Dettagli

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno Gli elementi di memoria: i bistabili I registri Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08 08 Circuiti sequenziali Nei circuiti sequenziali il valore delle uscite in un dato istante dipende

Dettagli

Architetture degli Elaboratori I II Compito di Esonero (A) - 16/1/1997

Architetture degli Elaboratori I II Compito di Esonero (A) - 16/1/1997 1 II Compito di Esonero (A) - 16/1/1997 Non è ammessa la consultazione di nessun testo, nè l utilizzo di nessun tipo di calcolatrice. Ogni esercizio riporta, fra parentesi, il suo valore in trentesimi

Dettagli

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali Titolo lezione Fondamenti di informatica II 1 Sintesi di reti logiche sequenziali Reti combinatorie e sequenziali Fondamenti di informatica II 2 Due sono le tipologie di reti logiche che studiamo Reti

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Esercitazione 2 I Flip Flop 1. ual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano

Dettagli

Introduzione ai microcontrollori

Introduzione ai microcontrollori Introduzione ai microcontrollori L elettronica digitale nasce nel 1946 con il primo calcolatore elettronico digitale denominato ENIAC e composto esclusivamente di circuiti a valvole, anche se negli anni

Dettagli

Verifica di Sistemi. 2. Il latch SR a porte NOR non accetta la condizione: a. S=0, R=0 b. S=1, R=1 c. S=0, R=1 d. S=1, R=0

Verifica di Sistemi. 2. Il latch SR a porte NOR non accetta la condizione: a. S=0, R=0 b. S=1, R=1 c. S=0, R=1 d. S=1, R=0 Verifica di Sistemi 1.Qual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano direttamente

Dettagli

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno Progetto di Contatori sincroni Mariagiovanna Sami Corso di reti Logiche 8 Anno 08 Introduzione Per le reti sequenziali esistono metodologie di progettazione generali, che partendo da una specifica a parole

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

Il livello logico digitale

Il livello logico digitale Il livello logico digitale prima parte Introduzione Circuiti combinatori (o reti combinatorie) Il valore dell uscita in un determinato istante dipende unicamente dal valore degli ingressi in quello stesso

Dettagli

Flip flop: tempificazione latch ed edge-triggered

Flip flop: tempificazione latch ed edge-triggered Corso di Calcolatori Elettronici I A.A. 2010-2011 Flip flop: tempificazione latch ed edge-triggered Lezione 23-26 Università degli Studi di Napoli Federico II Facoltà di Ingegneria I flip flop - 1 Generalità

Dettagli

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita LOGICA SEQUENZIALE Logica combinatoria Un blocco di logica puramente combinatoria è un blocco con N variabili di ingresso e M variabili di uscita che sono funzione (booleana) degli ingressi in un certo

Dettagli

Università degli Studi di Cassino

Università degli Studi di Cassino di assino orso di alcolatori Elettronici I Elementi di memoria e registri Anno Accademico 27/28 Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario utilizzare

Dettagli

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo.

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. Compito A Esercizio (2 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S / S 2 / S 3 / S 4 / S 5 / Esercizio 2 (5 punti) Progettare un circuito il cui output

Dettagli

Il livello logico digitale

Il livello logico digitale Il livello logico digitale porte logiche e moduli combinatori Algebra di commutazione Algebra booleana per un insieme di due valori Insieme di elementi A={,} Operazioni NOT (operatore unario) => = e =

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI ALBA ANNO SCOLASTICO 2015/2016 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016 CLASSE 3 I Discip lina: Elettrotecnica ed Elettronica PROGETTAZIONE DIDATTICA ANNUALE Elaborata e sottoscritta dai docenti: cognome

Dettagli

Modelli per le macchine digitali

Modelli per le macchine digitali Reti sequenziali Modelli per le macchine digitali Ingressi Uscite i(t 0 ) i(t n ) MACCHINA DIGITALE u(t 0 ) u(t n ) TEMPO In generale l uscita di una macchina in un certo istante temporale dipenderà dalla

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Flip-flop e loro applicazioni

Flip-flop e loro applicazioni Flip-flop e loro applicazioni Reti sequenziali elementari (6) L'elemento bistabile Latch o flip-flop trasparenti Temporizzazione dei flip-flop trasparenti Architettura master-slave Flip-flop non trasparenti

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali Reti Logiche Prof. B. Buttarazzi A.A. 29/2 Reti Sequenziali Sommario Analisi di Reti Sequenziali Sintesi di Reti Sequenziali Esercizi 3/6/2 Corso di Reti Logiche 29/ 2 Analisi di Reti Sequenziali Passare

Dettagli

Reti sequenziali. Nord

Reti sequenziali. Nord Reti sequenziali Nord Ovest Est Semaforo a due stati verde/rosso Sud Vogliamo definire un circuito di controllo per produrre due segnali NS ed EO in modo che: Se NS è on allora il semaforo è verde nella

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A:

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A: ESPERIMENTZIONI DI FISIC 3 Traccia delle lezioni di Elettronica digitale M. De Vincenzi.: 22-23 Contenuto. Sistemi elettrici a 2 livelli 2. lgebra di oole Definizione Sistemi funzionali completi Leggi

Dettagli

Esercizio 4.3. Esercizio 4.4

Esercizio 4.3. Esercizio 4.4 4 Esercizio 4.3 La rete di Figura 4.1 del testo è un latch realizzato con porte NOR. Sostituendo le porte NOR con porte NAND si ottiene la rete di Figura 4.1. Figura 4.1 Rete dell Esercizio 4.3. Nella

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

Costruzione di. circuiti combinatori

Costruzione di. circuiti combinatori Costruzione di circuiti combinatori Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1 A B (A + B) 0 0 0 0 1 1 1 0 1 1 1 1 AND (prodotto): l uscita è 1

Dettagli

Logica Digitale. Fondamenti di Informatica - Prof. Gregorio Cosentino

Logica Digitale. Fondamenti di Informatica - Prof. Gregorio Cosentino Logica Digitale 1 Ma in fondo quali sono i mattoncini che compongono un calcolatore elettronico? Porte Circuiti Aritmetica Memorie Bus I/O And, Or, Nand, Nor, Not Multiplexer, Codif, Shifter, ALU Sommatori

Dettagli

Architetture aritmetiche

Architetture aritmetiche Architetture aritmetiche Sommatori: : Full Adder, Ripple Carry Sommatori: Carry Look-Ahead Ahead, Carry Save, Add/Subtract Moltiplicatori: Combinatori, Wallace,, Sequenziali Circuiti per aritmetica in

Dettagli

CALCOLATORI ELETTRONICI I. A g g iornamento a l 0 7-06-2012

CALCOLATORI ELETTRONICI I. A g g iornamento a l 0 7-06-2012 CALCOLATORI ELETTRONICI I Programma del corso (9 CFU - 3 CFU) Corso di Laurea Ing. Elettronica e Ing. delle Telecomunicazioni Anno Accademico 2011/12 Prof. Ing. MAURO MASTROIANNI A g g iornamento a l 0

Dettagli

Corso di Architettura degli Elaboratori. Porte logiche (I) Architetture degli Elaboratori. Porte logiche (III) Porte logiche (II)

Corso di Architettura degli Elaboratori. Porte logiche (I) Architetture degli Elaboratori. Porte logiche (III) Porte logiche (II) Corso di Architettura degli Elaboratori Il livello logico digitale: Algebra Booleana e Circuiti logici digitali di base Porte logiche (I) Invertitore a transistor: quando V in è basso, V out è alto e viceversa

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

Introduzione - Modello. Introduzione - progetto e strumenti

Introduzione - Modello. Introduzione - progetto e strumenti intesi equenziale incrona intesi Comportamentale di reti equenziali incrone di Macchine enza Processo di Ottimizzate a Livello Comportamentale Introduzione intesi comportamentale e architettura generale

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Università degli Studi di Cassino e del Lazio Meridionale Corso di Calcolatori Elettronici Elementi di memoria e Registri

Università degli Studi di Cassino e del Lazio Meridionale Corso di Calcolatori Elettronici Elementi di memoria e Registri di assino e del Lazio Meridionale orso di alcolatori Elettronici Elementi di memoria e Registri Anno Accademico Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario

Dettagli

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni FACOLTA : INGEGNERIA CORSO DI LAUREA: INFORMATICA INSEGNAMENTO: CONTROLLI DIGITALI Modulo 1 NOME DOCENTE: Prof. Giovanni Fedecostante indirizzo e-mail: giovanni.fedecostante@uniecampus.it orario ricevimento

Dettagli

Funzioni e Reti Logiche. Architettura degli Elaboratori I

Funzioni e Reti Logiche. Architettura degli Elaboratori I Funzioni e Reti Logiche Architettura degli Elaboratori I palopoli@dit.unitn.it Funzioni circuitali I circuiti elettronici non sono in grado di svolgere operazioni complesse o algebriche Le funzioni base

Dettagli

Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi. Mercoledì 8 ottobre 2014

Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi. Mercoledì 8 ottobre 2014 Aritmetica in virgola mobile Algebra di Boole e reti logiche Esercizi Mercoledì 8 ottobre 2014 Notazione scientifica normalizzata La rappresentazione in virgola mobile che adotteremo si basa sulla notazione

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Programma di Elettrotecnica ed Elettronica. Classe III A EN Prof. Maria Rosaria De Fusco e Domenico Bartemucci. a.s

Programma di Elettrotecnica ed Elettronica. Classe III A EN Prof. Maria Rosaria De Fusco e Domenico Bartemucci. a.s Programma di Elettrotecnica ed Elettronica Classe III A EN Prof. Maria Rosaria De Fusco e Domenico Bartemucci a.s. 2014-2015 Elettrotecnica: Nozioni fondamentali: La struttura della materia La corrente

Dettagli

I bistabili ed il register file

I bistabili ed il register file I bistabili ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano 1/32 Sommario I problemi dei latch trasparenti sincroni

Dettagli

Circuiti Combinatori

Circuiti Combinatori Circuiti Combinatori circuiti combinatori sono circuiti nei quali le uscite dipendono solo dalla combinazione delle variabili logiche presenti nello stesso istante all ingresso Essi realizzano: Operazioni

Dettagli

A.C. Neve Esercizi Digitali 1

A.C. Neve Esercizi Digitali 1 Esercizi di Elettronica Digitale.. Neve Esercizi Digitali 1 Porte logiche Elementari ND OR NND NOR EXOR EXNOR 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 1 0 0 0 1 * Reti logiche con interruttori

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

Esercitazioni di Reti Logiche. Lezione 5

Esercitazioni di Reti Logiche. Lezione 5 Esercitazioni di Reti Logiche Lezione 5 Circuiti Sequenziali Zeynep KIZILTAN zeynep@cs.unibo.it Argomenti Circuiti sequenziali Flip-flop D, JK Analisi dei circuiti sequenziali Progettazione dei circuiti

Dettagli

Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti) (3 punti)

Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti) (3 punti) Cognome e Nome: Matr.: Architettura degli Elaboratori Inf A 14 febbraio 2013 Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti)

Dettagli

2 storage mechanisms positive feedback charge-based

2 storage mechanisms positive feedback charge-based Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based Positive Feedback: Bi-Stability V i V o = V i 2 V o2 V o2

Dettagli

Esercizio 4.3. Esercizio 4.4

Esercizio 4.3. Esercizio 4.4 4 Esercizio 4.3 La rete di Figura 4.1 del testo è un latch realizzato con porte NOR. Sostituendo le porte NOR con porte NAND si ottiene la rete di Figura 4.1. Figura 4.1 Rete dell Esercizio 4.3. Nella

Dettagli

FONDAMENTI DI LOGICA DIGITALE 1 DL 3155E20 LOGICA. Blocchi funzionali. Argomenti teorici

FONDAMENTI DI LOGICA DIGITALE 1 DL 3155E20 LOGICA. Blocchi funzionali. Argomenti teorici L1 LOGICA FONDAMENTI DI LOGICA DIGITALE 1 Concetti di logica: teoremi fondamentali dell'algebra booleana Sistema binario Funzioni logiche Descrizione algebrica delle reti logiche e le tavole della verità

Dettagli

2.6 Riflessione conclusiva su descrizione e sintesi delle reti logiche

2.6 Riflessione conclusiva su descrizione e sintesi delle reti logiche 2.6 Riflessione conclusiva su descrizione e sintesi delle reti logiche Abbiamo visto vari tipi di reti logiche: quelle combinatorie, sia semplici (pochi ingressi ed uscite) sia complesse (e.g., quelle

Dettagli

Esercizi svolti Y Z. 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari AND, OR, NOT.

Esercizi svolti Y Z. 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari AND, OR, NOT. Esercizi svolti 1. Date le seguenti funzioni logiche ricavare le corrispondenti reti logiche realizzate con porte elementari ND, OR, NOT. a) F= b) F= F= 2. Date le seguenti funzioni logiche ricavare le

Dettagli

1 semestre Facoltativa Voto in trentesimi

1 semestre Facoltativa Voto in trentesimi DIPARTIMENTO SCUOLA ANNO ACCADEMICO OFFERTA 2015/2016 ANNO ACCADEMICO EROGAZIONE 2015/2016 CORSO DILAUREA INSEGNAMENTO CODICE INSEGNAMENTO 18073 MODULI NUMERO DI MODULI 2 SETTORI SCIENTIFICO-DISCIPLINARI

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

LSS: Reti Logiche. Piero Vicini A.A

LSS: Reti Logiche. Piero Vicini A.A LSS: Reti Logiche Piero Vicini A.A. 2015-2016 Introduzione Argomenti: Codici e aritmetica Operatori dell algebra booleana Minimizzazione e sintesi di funzioni Esempi di implementazione hardware di circuiti

Dettagli

Esercitazione di Calcolatori Elettronici Ing. Battista Biggio. Corso di Laurea in Ingegneria Elettronica. Esercitazione 1 (Capitolo 2) Reti Logiche

Esercitazione di Calcolatori Elettronici Ing. Battista Biggio. Corso di Laurea in Ingegneria Elettronica. Esercitazione 1 (Capitolo 2) Reti Logiche Esercitazione di Calcolatori Elettronici Ing. Battista Biggio Corso di Laurea in Ingegneria Elettronica Esercitazione 1 (Capitolo 2) Reti Logiche Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie

Dettagli

x y z F x y z F 0 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 F = x z + y z + yz + xyz G = wyz + vw z + vwy + vwz + v w y z Sommario

x y z F x y z F 0 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 F = x z + y z + yz + xyz G = wyz + vw z + vwy + vwz + v w y z Sommario Esercitazione di Calcolatori Elettronici Prof. Gian Luca Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Reti Logiche (Nettuno) Test di autovalutazione del 19/5/94

Reti Logiche (Nettuno) Test di autovalutazione del 19/5/94 Test di autovalutazione del 19/5/94 Al fine di rilevare errori di trasmissione, un dato numerico compreso tra 0 e 9 viene trasmesso utilizzando il cosiddetto codice 2 su 5, ossia trasmettendo 5 bit nei

Dettagli

Automa a Stati Finiti (ASF)

Automa a Stati Finiti (ASF) Automa a Stati Finiti (ASF) E una prima astrazione di macchina dotata di memoria che esegue algoritmi Introduce il concetto fondamentale di STATO che informalmente può essere definito come una particolare

Dettagli

Arithmetic and Logic Unit e moltiplicatore

Arithmetic and Logic Unit e moltiplicatore Arithmetic and Logic Unit e moltiplicatore M. Favalli Engineering Department in Ferrara (ENDIF) ALU - multiplier Analisiesintesideicircuitidigitali 1 / 34 Sommario 1 Arithmetic and Logic Unit - ALU 2 Moltiplicatore

Dettagli

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche Calcolatori Elettronici T Complementi ed Esercizi di Reti Logiche Introduzione Reti Logiche: sintesi mediante approccio formale Specifiche del Problema Grafo degli Stati Tabella di Flusso Tabella delle

Dettagli

Corso di Laurea in Ingegneria Informatica e Biomedica. Corso integrato di Fondamenti di Informatica SSD: ING/INF-05 CFU: 12

Corso di Laurea in Ingegneria Informatica e Biomedica. Corso integrato di Fondamenti di Informatica SSD: ING/INF-05 CFU: 12 Università degli Studi Magna Græcia di Catanzaro Corso di Laurea in Ingegneria Informatica e Biomedica Corso integrato di Fondamenti di Informatica SSD: ING/INF-05 CFU: 12 A.A. (2012-2013) Docente Modulo

Dettagli

Flip-flop Macchine sequenziali

Flip-flop Macchine sequenziali Flip-flop Macchine sequenziali Introduzione I circuiti digitali possono essere così classificati Circuiti combinatori Il valore delle uscite ad un determinato istante dipende unicamente dal valore degli

Dettagli

LATCH E FLIP-FLOP PREMESSA

LATCH E FLIP-FLOP PREMESSA LATCH E FLIP-FLOP PREMESSA I latch e i flip flop sono circuiti digitali sequenziali che hanno il compito di memorizzare un bit. Un circuito digitale si dice sequenziale se l'uscita dipende dagli ingressi

Dettagli

La rappresentazione dei numeri. La rappresentazione dei numeri. Aritmetica dei calcolatori. La rappresentazione dei numeri

La rappresentazione dei numeri. La rappresentazione dei numeri. Aritmetica dei calcolatori. La rappresentazione dei numeri CEFRIEL Consorzio per la Formazione e la Ricerca in Ingegneria dell Informazione Aritmetica dei calcolatori Rappresentazione dei numeri naturali e relativi Addizione a propagazione di riporto Addizione

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Circuiti sincroni Circuiti sequenziali: i bistabili

Circuiti sincroni Circuiti sequenziali: i bistabili Architettura degli Elaboratori e delle Reti Lezione 8 Circuiti sincroni Circuiti sequenziali: i bistabili Proff. A. Borghese, F. Pedersini ipartimento di Scienze dell Informazione Università degli Studi

Dettagli

LATCH E FLIP-FLOP PREMESSA

LATCH E FLIP-FLOP PREMESSA LATCH E FLIP-FLOP PREMESSA I latch e i flip flop sono circuiti digitali sequenziali che hanno il compito di memorizzare un bit. Un circuito digitale si dice sequenziale se l'uscita dipende dagli ingressi

Dettagli

Informatica Generale 1 - Esercitazioni Flowgraph, algebra di Boole e calcolo binario

Informatica Generale 1 - Esercitazioni Flowgraph, algebra di Boole e calcolo binario Informatica Generale 1 - Esercitazioni Flowgraph, algebra di Boole e calcolo binario Daniele Pighin pighin@fbk.eu FBK Via Sommarive, 18 I-38050 Trento, Italy February 27, 2008 Outline 1 Algebra di Boole

Dettagli

Reti Combinatorie: sintesi

Reti Combinatorie: sintesi Reti Combinatorie: sintesi Sintesi di reti combinatorie Una rete combinatoria realizza una funzione di commutazione Data una tabella di verità è possibile ricavare più espressioni equivalenti che la rappresentano.

Dettagli

ESAME DI ARCHITETTURA I COMPITO A

ESAME DI ARCHITETTURA I COMPITO A ESAME DI ARCHITETTURA I COMPITO A Esercizio (6 punti) Si consideri l automa di Mealy specificato dalla seguente tabella: S S/ S S S2/ S3/ S2 S2/ S3/ S3 S/ S/ S4 S/ S S5 S2/ S3/ ) Disegnare l automa. 2)

Dettagli