CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.6. Unità di controllo microprogrammata

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.6. Unità di controllo microprogrammata"

Transcript

1 Lezione n.6 Unità di controllo microprogrammata 1

2 Sommario Unità di controllo microprogrammata Ottimizzazione, per ottimizzare lo spazio di memoria occupato Il moltiplicatore binario Esempio di architettura microprogrammata Architettura di Wilkes Indirizzo esterno CMAR Segnale esterno IR Memoria di controllo Decoder 1/8 S Segnali di controllo Campo indirizzo a 3 bit Funzionamento: Indirizzo esterno: è l indirizzo riguardante l istruzione da eseguire IR: è il registro istruzione che contiene il codice dell istruzione da eseguire CMAR(Control Memory Address Register): è il registro che contiene l informazione della linea di microprogramma che è attiva, vale a dire l indirizzo della microistruzione Campo indirizzo: contiene l indirizzo della microistruzione successiva da eseguire Segnale esterno: identifica quei segnali che arrivano dal percorso dati; per esempio un bit (0 o 1) ha il compito di attivare un campo indirizzo 2

3 Le 8 linee uscenti dal decoder possono essere viste come microistruzioni orizzontali in cui si suppone che sia possibile attivare più segnali contemporaneamente, oppure microistruzioni verticali che forniscono un codice che andrà in input ad un decoder che genererà i segnali di controllo veri e propri. Si vedrà in seguito la differenza tra le due microistruzioni. All indirizzo presente nel CMAR è associato un codice che è dato in ingresso al decoder 1/8, che secondo questo codice attiva una delle 8 linee d uscita, ciascuna delle quali è una microistruzione. Per esempio, se il codice in ingresso al decoder è 000 viene attivata la prima microistruzione (prima linea d uscita del decoder), in cui sono, per esempio, attivi (posti a livello logico 1) solo i segnali di controllo identificati nel disegno con i pallini. Il segnale esterno S, può attivare a seconda che sia a livello 1 o a livello 0 la linea indirizzo 3 o la linea 4. Supponendo che S=0 viene attivata la terza linea del campo indirizzo in cui è specificato l indirizzo della prossima microistruzione da eseguire. Ottimizzazione La memoria di controllo deve essere veloce, quindi rappresenta il costo maggiore di un unità microprogrammata. Il costo dipende dalla dimensione della memoria, in pratica dipende da due parametri. o W: lunghezza della microistruzione o N: numero di microistruzioni È necessario minimizzare N, dove il numero N è associato al tipo di algoritmo, al parallelismo delle operazioni. Quindi N è legato alla bontà dell algoritmo. La minimizzazione di W è legata alla struttura dell Unità di Controllo, in pratica è associata al livello di possibile codifica CODIFICA Supponiamo di avere il seguente schema che rappresenta parte di un unità di controllo. X1 X2 X3 X4 C1 C2 C3 C4 Registro A 3

4 Al registro A possono arrivare i dati dai registri X1, X2, X3, X4. Abbiamo quindi bisogno di quattro segnali di controllo. C1, C2, C3, C4 sono i segnali di controllo dei registri X1,X2,X3,X4. Prima tecnica di codifica Un solo segnale di controllo per volta viene attivato. In questo caso le 5 condizioni possibili che si possono verificare nel registro A vengono codificate con 4 bit. Le cinque condizioni possibili sono: 1. Nel registro A passa il valore del registro X1 2. Nel registro A passa il valore di X2 3. Nel registro A passa il valore di X3 4. Nel registro A passa il valore di X4 5. Nel registro A non c è alcun dato (nessuna operazione NOP) Il tutto è riassunto nella seguente tabella: C1 C2 C3 C4 Contenuto registro A A:=X A:=X A:=X A:=X NOP Seconda tecnica di codifica È possibile pensare di utilizzare 4 segnali di controllo pilotati da un decodificatore a 3 ingressi; in tal modo si usano 3 soli bit di codifica invece di 4 bit. In questo modo riusciamo ancora a rappresentare le 5 condizioni possibili ( con 3 bit si possono infatti rappresentare 8 casi possibili) e risparmiare sullo spazio occupato. Schema logico X1 X2 X3 X4 K1 K2 DE CO DE R C1 C2 C3 C4 K3 Registro A 4

5 Tabella della verità K1 K2 K3 Contenuto registro A A:=X A:=X A:=X A:=X NOP Questa codifica pone però delle limitazioni dal punto di vista funzionale. Supponiamo ci sia la possibilità di avere più segnali in A contemporaneamente e non solo 1 (cioè solo tra X1, X2, X3, X4 può passare in A). Con questa codifica ho dei problemi. Infatti avendo 4 segnali di controllo di cui 2 possono essere attivati contemporaneamente, ho come numero di casi possibili 10 contro le 2^3=8 consentite dai 3 soli bit usati nella codifica. Con 4 bit invece posso codificare tutti i 10 casi possibili. Quindi usando 3 bit di codifica rinunciamo alla possibilità del parallelismo, cioè alla possibilità di poter attivare più segnali di controllo contemporaneamente. Quindi una codifica migliore (utilizzo di un numero inferiore di bit) impone un minor parallelismo. Questi due tipi di codifica prendono il nome di codifica con microistruzioni orizzontali e microistruzioni verticali. Microistruzioni orizzontali Formato esteso, in pratica numero bit superiore Capacità di esprimere un elevato livello di parallelismo, vale a dire più segnali di controllo che possono essere attivati contemporaneamente Scarsa codifica dell informazione di controllo ( caso dei 4 bit) Microistruzioni verticali Formato ridotto, in pratica numero inferiore di bit Elevata codifica dell informazione di controllo Capacità ridotta di esprimere un elevato livello di parallelismo (caso dei 3 bit) Riepilogando le alternative possibili sono: 5

6 1)Nessuna codifica: caso delle microistruzioni orizzontali Numero bit della microistruzione Assenza di codifica Linee di controllo 2) Codifica parziale Campo 1 di 2 bit Campo 2 di 3 bit Codifica parziale 3 campi di controllo Decoder 1 Decoder 2 Decoder 3 C0 C1 C2 C3 C4 C5 C6 C7 C8 C9 C10 C11 Linee di controllo Un solo segnale di controllo per gruppo è attivo per volta. Il primo campo di 2 bit consente di controllare 3 situazioni diverse (attivo C1, oppure C2, oppure C3) più la situazione di NOP. Il secondo campo di 3 bit consente di controllare 4 casi possibili. Il terzo campo da 4 bit 5 casi possibili. Questo tipo di codifica è usata per attivare segnali di controllo che controllano operazioni mutuamente esclusive. Questo significa che vengono raggruppati quei segnali di controllo che non possono essere attivati contemporaneamente. Esempio: nella pagina 3 della lezione 5 si è visto che solo uno tra i segnali di controllo C4, C5, C6 può essere attivo; questo significa che questi segnali possono essere raggruppati in un unico decoder. Altro esempio: i segnali di lettura e scrittura in memoria non possono essere attivi contemporaneamente, quindi se chiamiamo C1 il segnale che abilita l operazione di lettura e con C2 il segnale che abilita la scrittura, questi si possono raggruppare nel decoder 1 perché sono mutuamente esclusivi. 6

7 Anche tutte le operazioni della ALU (16 possibili) sono mutuamente esclusive e quindi i segnali di controllo di queste operazioni possono essere raggruppati. 3) Codifica completa: unico campo di controllo, cioè un solo segnale è attivato per microistruzione Campo di controllo K0 K5 Decoder C0 C1 C2 C3 C4 C5 C6 C7 Linee di controllo Esempio di microistruzione Formato di una tipica microistruzione (3 campi) Il campo 1 è il campo scelta o campo condizione. Esso identifica uno dei segnali in arrivo dall unità di esecuzione. Specifica quali segnali esterni (di condizione) considerare. Quando uno di questi è attivo in corrispondenza di una microistruzione, il campo indirizzo individua la microistruzione successiva da eseguire. Il campo 2 è il campo indirizzo di salto. E il campo che specifica la posizione (indirizzo) a cui saltare se la condizione del campo scelta è soddisfatta. Il campo 3 è il campo controllo ( con o senza codifica). Specifica i segnali di controllo da attivare ( caso di microistruzione orizzontale) o una loro codifica (microistruzione verticale). Quindi i campi 1 e 2 servono per individuare la prossima microistruzione da eseguire nel caso in cui ci sia una situazione di salto condizionato. 7

8 Questo tipo di microistruzione richiede una struttura dell unità di controllo abbastanza sofisticata. Vediamo ora uno schema completo di unità di controllo Unità di Controllo Codici di condizione o flag di stato Condizione esterna. Questi codici arrivano dall unità di esecuzione e condizionano la scelta della microistruzione successiva MUX load Micro PC(PC) Indirizzo esterno iniziale Memoria di controllo Incremento tramite clock IR Contiene le microistruzioni nel formato precedente Contiene l istruzione da eseguire Posto nel IR scelta indirizzo Campo controllo decoder Microistruzione che è stata identificata e che deve essere eseguita Indirizzo di salto Agisce come selettore. E il campo S visto nell architettura di Wilkes Data Path Il micro PC è un registro che contiene l indirizzo della microistruzione che deve essere eseguita. L indirizzo viene prelevato la prima volta dal registro IR. Il contenuto del micro PC può essere incrementato tramite un clock. Il contenuto del micro pc specifica la microistruzione da eseguire presente nella memoria di controllo. Una volta che questa microistruzione è stata selezionata viene controllato il suo campo scelta. Se tale campo non è attivo viene eseguita immediatamente la microistruzione successiva ottenuta incrementando di uno l indirizzo precedente. 8

9 Se invece il campo scelta è attivo, viene segnalato al MUX (selettore per il MUX) che seleziona uno dei segnali esterni provenienti dall unità di esecuzione. Questo attiva la linea load che permette di caricare nel micro PC l istruzione il cui indirizzo è posto nel campo indirizzo. Il campo scelta agisce nel seguente modo: specifica quale dei flag di stato devono essere analizzati per controllare se la condizione è verificata o meno. Quindi seleziona una delle condizioni esterne in arrivo al MUX. Se questa condizione esterna è vera allora la carica, altrimenti no. Esempio se il flag N=0 salta alla microistruzione numero 3. Fasi di progetto Definire l algoritmo da far svolgere, definito come sequenza S di operazioni RTL Analizzare S per individuare l insieme minimo di componenti necessari (di quanti registri e unità funzionali abbiamo bisogno) Costruire il diagramma a blocchi D, dell unità di elaborazione per realizzare tutti i cammini per i dati Analizzare D e S per introdurre tutti i punti di controllo necessari Progettare l unità di controllo Effettuare eventuali minimizzazioni Esempio Tecnica delle frazioni binarie Vogliamo ora vedere il progetto di una piccola macchina digitale che effettua il prodotto tra due numeri. Il numero N è rappresentato in modulo e segno, cioè per un numero ad n bit il bit più significativo è il bit di segno mentre gli (N-1) bit restanti rappresentano il modulo. X M =X 0 X X n X 0 = bit di segno; 0= numero positivo; 1=numero negativo X X n = modulo del numero X M Il numero X M è espresso nel seguente modo (è la forma per ottenere il corrispondente numero decimale) 9

10 X n XM = ( 1 ) 0 Xi 2 i con Xi che può assumere solo i valori 0 oppure 1. I pesi 1 delle cifre binarie X1----Xn sono 2^(-1), 2^(-2),-----2^(-n), quindi si sta supponendo di trattare con dei numeri frazionari in pratica -1<XM<1 e il peso dell i-esima cifra è 2^(-i). Supponendo che il numero sia a 8 bit allora il numero positivo più grande è: 127/128 Infatti con 8 bit il numero positivo maggiore in valore assoluto è rappresentato dalla seguente combinazione binaria: Ma = 1-1/128=127/128 Il numero più piccolo è invece rappresentato dalla seguente combinazione binaria: =-1+1/128=-127/128. Il numero decimale 0,5 è rappresentato con la sequenza , mentre la sequenza rappresenta il numero decimale (-1/2)-(1/16)= Questa rappresentazione ha un difetto: lo zero può essere ottenuto con due configurazione diverse e precisamente con le combinazioni e Per ovviare a questo difetto, tipico della rappresentazione in modulo e segno, i numeri negativi possono essere rappresentati in complemento a due. Il complemento a due di un numero binario si ottiene facendo il complemento a uno e poi sommando 1. Moltiplicatore binario Si voglia effettuare il prodotto tra due numeri ad 8 bit che indichiamo con Xm e Ym. Indichiamo poi con Pm il risultato. Pm=Xm*Ym dove Xm=X0 X1 X2.X7 Ym=Y0 Y1 Y2.Y7 Xo e Y0 sono i bit di segno dei due numeri Il risultato Pm sarà un numero a 15 bit Pm=P0 P1 P P14 con P0 bit di segno L algoritmo per svolgere il prodotto è il seguente: Il segno di Pm è positivo se i due bit di segno X0 e Y0 sono uguali ( numeri entrambi positivi o entrambi negativi). Il segno è negativo se i due bit sono diversi (un numero è positivo mentre l altro è negativo). Questo porta a dire che 10

11 Esempio del prodotto di 2 numeri a 5 bit CALCOLATORI ELETTRONICI P 0 = X 0 Y 0 X0 Y0 P Y X Il prodotto tra i bit di segno ci da un bit 1 cioè il risultato del prodotto è negativo. Facciamo ora il prodotto dei moduli La prima riga è stata ottenuta dal prodotto 1011*1=Y*cifra meno significativa di X La seconda riga è stata ottenuta dal prodotto 1011*0=Y*seconda cifra meno significativa di X. La terza riga è data da 1011*1= Y* terza cifra di X. La quarta riga è data da 1011*0= Y* quarta cifra di X. Le quattro righe vengono sommate per ottenere il risultato del prodotto dei moduli Il numero risultante compreso del bit di segno è dunque: L algoritmo utilizzato può essere riassunto nel seguente modo (numeri a 8 bit) Segno: Po = X 0 Y 0 11

12 Per il calcolo del modulo si ha: CALCOLATORI ELETTRONICI Pi=Pi+X(7-i)*Ym con Ym modulo di Y e Pi prodotto parziale P i+1 =2^(-1)*P i quest operazione fa uno scorrimento a destra che è quello che si fa nella moltiplicazione (si veda l incolonnamento nella tabella del prodotto vista in precedenza). L algoritmo coincide con quello usato per la moltiplicazione manuale tra due numeri La differenza principale con l algoritmo manuale consiste nel sommare e accumulare i prodotti parziali ottenuti, invece di sommarli solo al termine. In questo modo si usa un solo registro per immagazzinare la somma invece di un numero di registri pari al numero d addendi parziali. Vediamo il funzionamento dell algoritmo in dettaglio per il prodotto tra due numeri a 4 bit. Consideriamo i due numeri precedenti Y X Passo operativo Risultato parziale 0 Inizializzazione Azzeramento registri 1 P0+X4*Y P1 2 P1*2^(-1) scorrimento a destra P2 3 P2+X3*Y P3 4 P3*2^(-1) scorrimento a destra P4 5 P4+X2*Y P5 6 P5*2^(-1) scorrimento a destra P6 7 P6+X1*Y P7 8 P7*2^(-1) scorrimento a destra P8 Sono necessari due registri a 8 bit per immagazzinare il moltiplicando e il moltiplicatore e un registro a 16 bit per il risultato. Necessità hardware del moltiplicatore binario(caso a 8 bit) Un registro a 8 bit, Q per memorizzare il moltiplicatore X Un registro a 8 bit, M per memorizzare il moltiplicando Y Un registro a 16 bit, A per memorizzare il prodotto finale P Un sommatore a 7 bit che riceve al primo ingresso il prodotto parziale precedente a 7 bit e al secondo ingresso il prodotto Xi*Y Una porta EX-OR per il segno 12

13 Il sommatore a 7 bit può essere rappresentato nel seguente modo A=Accumulatore A M 7 7 M=Moltiplicando Sommatore Nel caso di prodotto tra due numeri di 4 bit occorrono: Un registro Q a 4 bit per memorizzare il moltiplicatore X Un registro M a 4 bit per memorizzare il moltiplicando Y Un registro accumulatore A ad 8 bit per memorizzare il prodotto P Un sommatore a 4 bit Una porta EX-OR E possibile risparmiare sul numero di registri usati, sfruttando il fatto che una volta che si fa il prodotto tra il moltiplicando Y e il bit i-esimo del moltiplicatore X, questo bit non viene più usato (non serve più nei calcoli successivi). Allora, nel caso dell esempio del prodotto a 4 bit, invece di usare un registro Q a 4 bit, un registro M a 4 bit e un registro A ad 8 bit, basta usare un registro M a 4 bit ed un registro A ad 8 bit, oppure al posto del registro A ad 8 bit, 2 registri A e Q a 4 bit però concatenati. Nel caso si usi un registro A ad 8 bit, in esso si pone sia il risultato parziale sia il moltiplicatore, sfruttando il fatto che quando il bit del moltiplicatore è stato già usato, lo possiamo scartare e quindi si libera un posto per il bit meno significativo del prodotto parziale. Esempio Al primo passo, nell esecuzione del prodotto, nei 4 bit più significativi si carica il prodotto 1011*1=1011; questo è il prodotto parziali P1. Negli ultimi 4 bit si carica il moltiplicatore 0101=X1 X2 X3 X4=X Quindi al passo 1 il registro A è caricato con la sequenza Al passo 2 facciamo uno shift a destra, eliminando così il bit X4=1 Il registro A contiene ora la sequenza =P2 (prodotto parziale P2) Al passo 3 facciamo Y*X3=1011*0=0000 Il prodotto parziale P3=P2+X3*Y= = L ultimo bit di P3 è X3 e vale 0. Al passo 4 si fa uno shift a destra e quindi X3=0 viene eliminato e cosi via. 13

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU

CALCOLATORI ELETTRONICI A cura di Luca Orrù. Lezione n.7. Il moltiplicatore binario e il ciclo di base di una CPU Lezione n.7 Il moltiplicatore binario e il ciclo di base di una CPU 1 SOMMARIO Architettura del moltiplicatore Architettura di base di una CPU Ciclo principale di base di una CPU Riprendiamo l analisi

Dettagli

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0

4 3 4 = 4 x 10 2 + 3 x 10 1 + 4 x 10 0 aaa 10 2 10 1 10 0 Rappresentazione dei numeri I numeri che siamo abituati ad utilizzare sono espressi utilizzando il sistema di numerazione decimale, che si chiama così perché utilizza 0 cifre (0,,2,3,4,5,6,7,8,9). Si dice

Dettagli

Architettura (10/9/2003) Pag. 1/6. Cognome e Nome (in stampatello):

Architettura (10/9/2003) Pag. 1/6. Cognome e Nome (in stampatello): Architettura (10/9003) Pag. 1/6 Esame di Architettura (matr.0-1) del 10/9003 Per Fondamenti di Architettura NON rispondere Per le domande a risposta multipla cerchiare la risposta scelta. Non alle domande

Dettagli

ESEMPIO 1: eseguire il complemento a 10 di 765

ESEMPIO 1: eseguire il complemento a 10 di 765 COMPLEMENTO A 10 DI UN NUMERO DECIMALE Sia dato un numero N 10 in base 10 di n cifre. Il complemento a 10 di tale numero (N ) si ottiene sottraendo il numero stesso a 10 n. ESEMPIO 1: eseguire il complemento

Dettagli

Rappresentazione delle informazioni

Rappresentazione delle informazioni Rappresentazione delle informazioni Abbiamo informazioni (numeri, caratteri, immagini, suoni, video... ) che vogliamo rappresentare (e poter elaborare) in un calcolatore. Per motivi tecnologici un calcolatore

Dettagli

SISTEMI DI NUMERAZIONE E CODICI

SISTEMI DI NUMERAZIONE E CODICI SISTEMI DI NUMERAZIONE E CODICI Il Sistema di Numerazione Decimale Il sistema decimale o sistema di numerazione a base dieci usa dieci cifre, dette cifre decimali, da O a 9. Il sistema decimale è un sistema

Dettagli

ARCHITETTURE MICROPROGRAMMATE. 1. Necessità di un architettura microprogrammata 1. Cos è un architettura microprogrammata? 4

ARCHITETTURE MICROPROGRAMMATE. 1. Necessità di un architettura microprogrammata 1. Cos è un architettura microprogrammata? 4 ARCHITETTURE MICROPROGRAMMATE. 1 Necessità di un architettura microprogrammata 1 Cos è un architettura microprogrammata? 4 Struttura di una microistruzione. 5 Esempi di microprogrammi 9 Esempio 1 9 Esempio

Dettagli

Alessandro Pellegrini

Alessandro Pellegrini Esercitazione sulle Rappresentazioni Numeriche Esistono 1 tipi di persone al mondo: quelli che conoscono il codice binario e quelli che non lo conoscono Alessandro Pellegrini Cosa studiare prima Conversione

Dettagli

Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri

Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri 1 Da base 2 a base 10 I seguenti esercizi richiedono di convertire in base 10 la medesima stringa binaria codificata rispettivamente

Dettagli

Siamo così arrivati all aritmetica modulare, ma anche a individuare alcuni aspetti di come funziona l aritmetica del calcolatore come vedremo.

Siamo così arrivati all aritmetica modulare, ma anche a individuare alcuni aspetti di come funziona l aritmetica del calcolatore come vedremo. DALLE PESATE ALL ARITMETICA FINITA IN BASE 2 Si è trovato, partendo da un problema concreto, che con la base 2, utilizzando alcune potenze della base, operando con solo addizioni, posso ottenere tutti

Dettagli

LA TRASMISSIONE DELLE INFORMAZIONI QUARTA PARTE 1

LA TRASMISSIONE DELLE INFORMAZIONI QUARTA PARTE 1 LA TRASMISSIONE DELLE INFORMAZIONI QUARTA PARTE 1 I CODICI 1 IL CODICE BCD 1 Somma in BCD 2 Sottrazione BCD 5 IL CODICE ECCESSO 3 20 La trasmissione delle informazioni Quarta Parte I codici Il codice BCD

Dettagli

Informatica. Rappresentazione dei numeri Numerazione binaria

Informatica. Rappresentazione dei numeri Numerazione binaria Informatica Rappresentazione dei numeri Numerazione binaria Sistemi di numerazione Non posizionali: numerazione romana Posizionali: viene associato un peso a ciascuna posizione all interno della rappresentazione

Dettagli

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Rappresentazione in virgola mobile

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Rappresentazione in virgola mobile Problemi connessi all utilizzo di un numero di bit limitato Abbiamo visto quali sono i vantaggi dell utilizzo della rappresentazione in complemento alla base: corrispondenza biunivoca fra rappresentazione

Dettagli

Aritmetica dei Calcolatori 1

Aritmetica dei Calcolatori 1 Architettura degli Elaboratori e Laboratorio 1 Marzo 2013 1 Sistema di numerazione sistema posizionale 2 rappresentazione binaria cambio di base basi potenze di 2 3 Rappresentazione binaria con segno Sistema

Dettagli

Dispense di Informatica per l ITG Valadier

Dispense di Informatica per l ITG Valadier La notazione binaria Dispense di Informatica per l ITG Valadier Le informazioni dentro il computer All interno di un calcolatore tutte le informazioni sono memorizzate sottoforma di lunghe sequenze di

Dettagli

Testi di Esercizi e Quesiti 1

Testi di Esercizi e Quesiti 1 Architettura degli Elaboratori, 2009-2010 Testi di Esercizi e Quesiti 1 1. Una rete logica ha quattro variabili booleane di ingresso a 0, a 1, b 0, b 1 e due variabili booleane di uscita z 0, z 1. La specifica

Dettagli

Informatica B a.a 2005/06 (Meccanici 4 squadra) PhD. Ing. Michele Folgheraiter

Informatica B a.a 2005/06 (Meccanici 4 squadra) PhD. Ing. Michele Folgheraiter Informatica B a.a 2005/06 (Meccanici 4 squadra) Scaglione: da PO a ZZZZ PhD. Ing. Michele Folgheraiter Architettura del Calcolatore Macchina di von Neumann Il calcolatore moderno è basato su un architettura

Dettagli

Architettura hardware

Architettura hardware Architettura dell elaboratore Architettura hardware la parte che si può prendere a calci Sistema composto da un numero elevato di componenti, in cui ogni componente svolge una sua funzione elaborazione

Dettagli

Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013

Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013 Operazioni Aritmetiche e Codici in Binario Giuseppe Talarico 23/01/2013 In questo documento vengono illustrate brevemente le operazioni aritmetiche salienti e quelle logiche ad esse strettamente collegate.

Dettagli

Codifica binaria dei numeri relativi

Codifica binaria dei numeri relativi Codifica binaria dei numeri relativi Introduzione All interno di un calcolatore, è possibile utilizzare solo 0 e 1 per codificare qualsiasi informazione. Nel caso dei numeri, non solo il modulo ma anche

Dettagli

Codifica dei numeri negativi

Codifica dei numeri negativi E. Calabrese: Fondamenti di Informatica Rappresentazione numerica-1 Rappresentazione in complemento a 2 Codifica dei numeri negativi Per rappresentare numeri interi negativi si usa la cosiddetta rappresentazione

Dettagli

Sistemi di Numerazione

Sistemi di Numerazione Fondamenti di Informatica per Meccanici Energetici - Biomedici 1 Sistemi di Numerazione Sistemi di Numerazione I sistemi di numerazione sono abitualmente posizionali. Gli elementi costitutivi di un sistema

Dettagli

Esercizi per il recupero del debito formativo:

Esercizi per il recupero del debito formativo: ANNO SCOLASTICO 2005/2006 CLASSE 3 ISC Esercizi per il recupero del debito formativo: Disegnare il diagramma e scrivere la matrice delle transizioni di stato degli automi a stati finiti che rappresentano

Dettagli

Parte II Indice. Operazioni aritmetiche tra valori rappresentati in binario puro. Rappresentazione di numeri con segno

Parte II Indice. Operazioni aritmetiche tra valori rappresentati in binario puro. Rappresentazione di numeri con segno Parte II Indice Operazioni aritmetiche tra valori rappresentati in binario puro somma sottrazione Rappresentazione di numeri con segno modulo e segno complemento a 2 esercizi Operazioni aritmetiche tra

Dettagli

Rappresentazione dei numeri in un calcolatore

Rappresentazione dei numeri in un calcolatore Corso di Calcolatori Elettronici I A.A. 2010-2011 Rappresentazione dei numeri in un calcolatore Lezione 2 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Rappresentazione dei numeri

Dettagli

Informazione analogica e digitale

Informazione analogica e digitale L informazione L informazione si può: rappresentare elaborare gestire trasmettere reperire L informatica offre la possibilità di effettuare queste operazioni in modo automatico. Informazione analogica

Dettagli

(71,1), (35,1), (17,1), (8,1), (4,0), (2,0), (1,0), (0,1) 0, 7155 2 = 1, 431 0, 431 2 = 0, 862 0, 896 2 = 1, 792 0, 724 2 = 1, 448 0, 448 2 = 0, 896

(71,1), (35,1), (17,1), (8,1), (4,0), (2,0), (1,0), (0,1) 0, 7155 2 = 1, 431 0, 431 2 = 0, 862 0, 896 2 = 1, 792 0, 724 2 = 1, 448 0, 448 2 = 0, 896 2 Esercizio 2.2 La rappresentazione esadecimale prevede 16 configurazioni corrispondenti a 4 bit. Il contenuto di una parola di 16 bit può essere rappresentato direttamente con 4 digit esadecimali, sostituendo

Dettagli

L unità di controllo. Il processore: unità di controllo. Le macchine a stati finiti. Struttura della macchina a stati finiti

L unità di controllo. Il processore: unità di controllo. Le macchine a stati finiti. Struttura della macchina a stati finiti Il processore: unità di lo Architetture dei Calcolatori (lettere A-I) L unità di lo L unità di lo è responsabile della generazione dei segnali di lo che vengono inviati all unità di elaborazione Alcune

Dettagli

Lezione 7 Sommatori e Moltiplicatori

Lezione 7 Sommatori e Moltiplicatori Architettura degli Elaboratori e delle Reti Lezione 7 Sommatori e Moltiplicatori Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 1/36 Sommario!

Dettagli

Lezione n.9. Introduzione al linguaggio macchina

Lezione n.9. Introduzione al linguaggio macchina Lezione n.9 Autore:Luca Orrù 1 Sommario Esecuzione delle istruzioni Architettura interna ed esterna Linguaggio assembler e modi d indirizzamento Consideriamo ora la singola istruzione e la scomponiamo

Dettagli

Complemento al corso di Fondamenti di Informatica I corsi di laurea in ingegneria, settore dell informazione Università la Sapienza Consorzio Nettuno

Complemento al corso di Fondamenti di Informatica I corsi di laurea in ingegneria, settore dell informazione Università la Sapienza Consorzio Nettuno Rappresentazione di numeri Complemento al corso di Fondamenti di Informatica I corsi di laurea in ingegneria, settore dell informazione Università la Sapienza Consorzio Nettuno Un numero e un entità teorica,

Dettagli

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati Il Livello LogicoDigitale i Blocchi funzionali combinatori Circuiti integrati Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati

Dettagli

4. Operazioni aritmetiche con i numeri binari

4. Operazioni aritmetiche con i numeri binari I Numeri Binari 4. Operazioni aritmetiche con i numeri binari Contare con i numeri binari Prima di vedere quali operazioni possiamo effettuare con i numeri binari, iniziamo ad imparare a contare in binario:

Dettagli

CPU. Maurizio Palesi

CPU. Maurizio Palesi CPU Central Processing Unit 1 Organizzazione Tipica CPU Dispositivi di I/O Unità di controllo Unità aritmetico logica (ALU) Terminale Stampante Registri CPU Memoria centrale Unità disco Bus 2 L'Esecutore

Dettagli

Elementi di informatica

Elementi di informatica Elementi di informatica Sistemi di numerazione posizionali Rappresentazione dei numeri Rappresentazione dei numeri nei calcolatori rappresentazioni finalizzate ad algoritmi efficienti per le operazioni

Dettagli

Fasi di creazione di un programma

Fasi di creazione di un programma Fasi di creazione di un programma 1. Studio Preliminare 2. Analisi del Sistema 6. Manutenzione e Test 3. Progettazione 5. Implementazione 4. Sviluppo 41 Sviluppo di programmi Per la costruzione di un programma

Dettagli

Memorie ROM (Read Only Memory)

Memorie ROM (Read Only Memory) Memorie ROM (Read Only Memory) Considerando la prima forma canonica, la realizzazione di qualsiasi funzione di m variabili richiede un numero di porte AND pari al numero dei suoi mintermini e di prolungare

Dettagli

Logica e codifica binaria dell informazione

Logica e codifica binaria dell informazione Politecnico di Milano Corsi di Laurea in Ingegneria Matematica e Ingegneria Fisica Dipartimento di Elettronica ed Informazione Logica e codifica binaria dell informazione Anno Accademico 2002 2003 L. Muttoni

Dettagli

Calcolatori: Algebra Booleana e Reti Logiche

Calcolatori: Algebra Booleana e Reti Logiche Calcolatori: Algebra Booleana e Reti Logiche 1 Algebra Booleana e Variabili Logiche I fondamenti dell Algebra Booleana (o Algebra di Boole) furono delineati dal matematico George Boole, in un lavoro pubblicato

Dettagli

Aritmetica dei Calcolatori 2

Aritmetica dei Calcolatori 2 Laboratorio di Architettura 13 aprile 2012 1 Operazioni bit a bit 2 Rappresentazione binaria con segno 3 Esercitazione Operazioni logiche bit a bit AND OR XOR NOT IN OUT A B A AND B 0 0 0 0 1 0 1 0 0 1

Dettagli

Lezione 3. Sommario. Le operazioni aritmetiche binarie. L aritmetica binaria. La somma La sottrazione La moltiplicazione

Lezione 3. Sommario. Le operazioni aritmetiche binarie. L aritmetica binaria. La somma La sottrazione La moltiplicazione Lezione 3 Le operazioni aritmetiche binarie Sommario L aritmetica binaria La somma La sottrazione La moltiplicazione 1 Definizione Si indica con il termine bit più significativo il bit più a sinistra,

Dettagli

Introduzione. Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD... 6

Introduzione. Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD... 6 Appunti di Calcolatori Elettronici Esecuzione di istruzioni in parallelo Introduzione... 1 Classificazione di Flynn... 2 Macchine a pipeline... 3 Macchine vettoriali e Array Processor... 4 Macchine MIMD...

Dettagli

Lezione n.19 Processori RISC e CISC

Lezione n.19 Processori RISC e CISC Lezione n.19 Processori RISC e CISC 1 Processori RISC e Superscalari Motivazioni che hanno portato alla realizzazione di queste architetture Sommario: Confronto tra le architetture CISC e RISC Prestazioni

Dettagli

Sistema operativo: Gestione della memoria

Sistema operativo: Gestione della memoria Dipartimento di Elettronica ed Informazione Politecnico di Milano Informatica e CAD (c.i.) - ICA Prof. Pierluigi Plebani A.A. 2008/2009 Sistema operativo: Gestione della memoria La presente dispensa e

Dettagli

I sistemi di numerazione

I sistemi di numerazione I sistemi di numerazione 01-INFORMAZIONE E SUA RAPPRESENTAZIONE Sia dato un insieme finito di caratteri distinti, che chiameremo alfabeto. Utilizzando anche ripetutamente caratteri di un alfabeto, si possono

Dettagli

Appunti di informatica. Lezione 2 anno accademico 2015-2016 Mario Verdicchio

Appunti di informatica. Lezione 2 anno accademico 2015-2016 Mario Verdicchio Appunti di informatica Lezione 2 anno accademico 2015-2016 Mario Verdicchio Sistema binario e logica C è un legame tra i numeri binari (0,1) e la logica, ossia la disciplina che si occupa del ragionamento

Dettagli

Comparatori. Comparatori di uguaglianza

Comparatori. Comparatori di uguaglianza Comparatori Scopo di un circuito comparatore é il confronto tra due codifiche binarie. Il confronto può essere effettuato per verificare l'uguaglianza oppure una relazione d'ordine del tipo "maggiore",

Dettagli

Il processore. Il processore. Il processore. Il processore. Architettura dell elaboratore

Il processore. Il processore. Il processore. Il processore. Architettura dell elaboratore Il processore Architettura dell elaboratore Il processore La esegue istruzioni in linguaggio macchina In modo sequenziale e ciclico (ciclo macchina o ciclo ) Effettuando operazioni di lettura delle istruzioni

Dettagli

Excel. A cura di Luigi Labonia. e-mail: luigi.lab@libero.it

Excel. A cura di Luigi Labonia. e-mail: luigi.lab@libero.it Excel A cura di Luigi Labonia e-mail: luigi.lab@libero.it Introduzione Un foglio elettronico è un applicazione comunemente usata per bilanci, previsioni ed altri compiti tipici del campo amministrativo

Dettagli

Architettura hw. La memoria e la cpu

Architettura hw. La memoria e la cpu Architettura hw La memoria e la cpu La memoria centrale e la CPU Bus controllo Bus indirizzi Bus dati Bus di collegamento con la cpu indirizzi controllo dati Bus Indirizzi 11 Bus controllo Leggi/scrivi

Dettagli

La codifica delle informazioni

La codifica delle informazioni La codifica delle informazioni Bit e byte Come già visto l elaboratore è in grado di rappresentare informazioni al proprio interno solo utilizzando cifre binarie (bit) che solitamente vengono manipolate

Dettagli

Strutturazione logica dei dati: i file

Strutturazione logica dei dati: i file Strutturazione logica dei dati: i file Informazioni più complesse possono essere composte a partire da informazioni elementari Esempio di una banca: supponiamo di voler mantenere all'interno di un computer

Dettagli

Codifica binaria e algebra di Boole

Codifica binaria e algebra di Boole Codifica binaria e algebra di Boole Corso di Programmazione A.A. 2008/09 G. Cibinetto Contenuti della lezione Codifica binaria dell informazione Numeri naturali, interi, frazionari, in virgola mobile Base

Dettagli

( x) ( x) 0. Equazioni irrazionali

( x) ( x) 0. Equazioni irrazionali Equazioni irrazionali Definizione: si definisce equazione irrazionale un equazione in cui compaiono uno o più radicali contenenti l incognita. Esempio 7 Ricordiamo quanto visto sulle condizioni di esistenza

Dettagli

LABORATORIO DI SISTEMI

LABORATORIO DI SISTEMI ALUNNO: Fratto Claudio CLASSE: IV B Informatico ESERCITAZIONE N : 1 LABORATORIO DI SISTEMI OGGETTO: Progettare e collaudare un circuito digitale capace di copiare le informazioni di una memoria PROM in

Dettagli

Convertitori numerici in Excel

Convertitori numerici in Excel ISTITUTO DI ISTRUZIONE SUPERIORE G. M. ANGIOY CARBONIA Convertitori numerici in Excel Prof. G. Ciaschetti Come attività di laboratorio, vogliamo realizzare dei convertitori numerici con Microsoft Excel

Dettagli

Lezione 8. La macchina universale

Lezione 8. La macchina universale Lezione 8 Algoritmi La macchina universale Un elaboratore o computer è una macchina digitale, elettronica, automatica capace di effettuare trasformazioni o elaborazioni su i dati digitale= l informazione

Dettagli

Reti sequenziali e strutturazione firmware

Reti sequenziali e strutturazione firmware Architettura degli Elaboratori, a.a. 25-6 Reti sequenziali e strutturazione firmware Alla parte di corso sulle reti sequenziali è apportata una sensibile semplificazione rispetto a quanto contenuto nel

Dettagli

Lezioni di Matematica 1 - I modulo

Lezioni di Matematica 1 - I modulo Lezioni di Matematica 1 - I modulo Luciano Battaia 16 ottobre 2008 Luciano Battaia - http://www.batmath.it Matematica 1 - I modulo. Lezione del 16/10/2008 1 / 13 L introduzione dei numeri reali si può

Dettagli

11010010 = 1*2^7 + 1*2^6 + 0*2^5 + 1*2^4 + 0*2^3 + 0*2^2 + 1*2^1 + 0*2^0 = 210

11010010 = 1*2^7 + 1*2^6 + 0*2^5 + 1*2^4 + 0*2^3 + 0*2^2 + 1*2^1 + 0*2^0 = 210 Il sistema BINARIO e quello ESADECIMALE. Il sistema di numerazione binario è particolarmente legato ai calcolatori in quanto essi possono riconoscere solo segnali aventi due valori: uno alto e uno basso;

Dettagli

Ciclo di Istruzione. Ciclo di Istruzione. Controllo. Ciclo di Istruzione (diagramma di flusso) Lezione 5 e 6

Ciclo di Istruzione. Ciclo di Istruzione. Controllo. Ciclo di Istruzione (diagramma di flusso) Lezione 5 e 6 Ciclo di Istruzione Può essere suddiviso in 4 tipi di sequenze di microoperazioni (cioè attività di calcolo aritmetico/logico, trasferimento e memorizzazione dei dati), non tutte necessariamente da realizzare

Dettagli

Calcolatori Elettronici. La Pipeline Criticità sui dati Criticità sul controllo Cenni sull unità di controllo

Calcolatori Elettronici. La Pipeline Criticità sui dati Criticità sul controllo Cenni sull unità di controllo Calcolatori Elettronici La Pipeline Criticità sui dati Criticità sul controllo Cenni sull unità di controllo La pipeline CRITICITÀ SUI DATI Calcolatori Elettronici - Pipeline (2) - Slide 2 L. Tarantino

Dettagli

13. Campi vettoriali

13. Campi vettoriali 13. Campi vettoriali 1 Il campo di velocità di un fluido Il concetto di campo in fisica non è limitato ai fenomeni elettrici. In generale il valore di una grandezza fisica assegnato per ogni punto dello

Dettagli

Dispensa di Informatica I.1

Dispensa di Informatica I.1 IL COMPUTER: CONCETTI GENERALI Il Computer (o elaboratore) è un insieme di dispositivi di diversa natura in grado di acquisire dall'esterno dati e algoritmi e produrre in uscita i risultati dell'elaborazione.

Dettagli

Lez. 3 L elaborazione (II parte) Prof. Pasquale De Michele Gruppo 2

Lez. 3 L elaborazione (II parte) Prof. Pasquale De Michele Gruppo 2 Lez. 3 L elaborazione (II parte) Prof. Pasquale De Michele Gruppo 2 1 Dott. Pasquale De Michele Dipartimento di Matematica e Applicazioni Università di Napoli Federico II Compl. Univ. Monte S.Angelo Via

Dettagli

Appunti sulla Macchina di Turing. Macchina di Turing

Appunti sulla Macchina di Turing. Macchina di Turing Macchina di Turing Una macchina di Turing è costituita dai seguenti elementi (vedi fig. 1): a) una unità di memoria, detta memoria esterna, consistente in un nastro illimitato in entrambi i sensi e suddiviso

Dettagli

Codici Numerici. Modifica dell'informazione. Rappresentazione dei numeri.

Codici Numerici. Modifica dell'informazione. Rappresentazione dei numeri. Codici Numerici. Modifica dell'informazione. Rappresentazione dei numeri. A partire da questa lezione, ci occuperemo di come si riescono a codificare con sequenze binarie, quindi con sequenze di 0 e 1,

Dettagli

Sommario. Addizione naturale

Sommario. Addizione naturale Sommario Introduzione Rappresentazione dei numeri interi positivi Rappresentazione dei numeri interi Operazioni aritmetiche Modulo e segno Addizione e sottrazione urale Addizione e sottrazione in complemento

Dettagli

Sistemi di Numerazione Binaria NB.1

Sistemi di Numerazione Binaria NB.1 Sistemi di Numerazione Binaria NB.1 Numeri e numerali Numero: entità astratta Numerale : stringa di caratteri che rappresenta un numero in un dato sistema di numerazione Lo stesso numero è rappresentato

Dettagli

Algoritmi e strutture dati. Codici di Huffman

Algoritmi e strutture dati. Codici di Huffman Algoritmi e strutture dati Codici di Huffman Memorizzazione dei dati Quando un file viene memorizzato, esso va memorizzato in qualche formato binario Modo più semplice: memorizzare il codice ASCII per

Dettagli

Un ripasso di aritmetica: Rappresentazione binaria - operazioni. riporti

Un ripasso di aritmetica: Rappresentazione binaria - operazioni. riporti Un ripasso di aritmetica: Rappresentazione binaria - operazioni A queste rappresentazioni si possono applicare le operazioni aritmetiche: riporti 1 1 0 + 1 0 = 1 0 0 24 Un ripasso di aritmetica: Rappresentazione

Dettagli

Esercitazione sulle CPU pipeline

Esercitazione sulle CPU pipeline Esercitazione sulle CPU pipeline Una CPU a ciclo singolo come pure una CPU multi ciclo eseguono una sola istruzione alla volta. Durante l esecuzione parte dell hardware della CPU rimane inutilizzato perché

Dettagli

Architettura di un calcolatore

Architettura di un calcolatore 2009-2010 Ingegneria Aerospaziale Prof. A. Palomba - Elementi di Informatica (E-Z) 7 Architettura di un calcolatore Lez. 7 1 Modello di Von Neumann Il termine modello di Von Neumann (o macchina di Von

Dettagli

Fondamenti di Informatica 2. Le operazioni binarie

Fondamenti di Informatica 2. Le operazioni binarie Corso di per il corso di Laurea di Ingegneria Gestionale Le operazioni binarie Università degli Studi di Udine - A.A. 2010-2011 Docente Ing. Sandro Di Giusto Ph.D. 1 L'algebra binaria Il fatto di aver

Dettagli

Dimensione di uno Spazio vettoriale

Dimensione di uno Spazio vettoriale Capitolo 4 Dimensione di uno Spazio vettoriale 4.1 Introduzione Dedichiamo questo capitolo ad un concetto fondamentale in algebra lineare: la dimensione di uno spazio vettoriale. Daremo una definizione

Dettagli

RAPPRESENTAZIONE BINARIA DEI NUMERI. Andrea Bobbio Anno Accademico 1996-1997

RAPPRESENTAZIONE BINARIA DEI NUMERI. Andrea Bobbio Anno Accademico 1996-1997 1 RAPPRESENTAZIONE BINARIA DEI NUMERI Andrea Bobbio Anno Accademico 1996-1997 Numeri Binari 2 Sistemi di Numerazione Il valore di un numero può essere espresso con diverse rappresentazioni. non posizionali:

Dettagli

APPUNTI DI MATEMATICA LE FRAZIONI ALGEBRICHE ALESSANDRO BOCCONI

APPUNTI DI MATEMATICA LE FRAZIONI ALGEBRICHE ALESSANDRO BOCCONI APPUNTI DI MATEMATICA LE FRAZIONI ALGEBRICHE ALESSANDRO BOCCONI Indice 1 Le frazioni algebriche 1.1 Il minimo comune multiplo e il Massimo Comun Divisore fra polinomi........ 1. Le frazioni algebriche....................................

Dettagli

CONCETTO DI LIMITE DI UNA FUNZIONE REALE

CONCETTO DI LIMITE DI UNA FUNZIONE REALE CONCETTO DI LIMITE DI UNA FUNZIONE REALE Il limite di una funzione è uno dei concetti fondamentali dell'analisi matematica. Tramite questo concetto viene formalizzata la nozione di funzione continua e

Dettagli

2. Codifica dell informazione

2. Codifica dell informazione 2. Codifica dell informazione Codifica Una codifica è una regola per associare in modo univoco i valori di un dato da codificare con sequenze di simboli. La corrispondenza definita dalla codifica è arbitraria,

Dettagli

Definire all'interno del codice un vettore di interi di dimensione DIM, es. int array[] = {1, 5, 2, 4, 8, 1, 1, 9, 11, 4, 12};

Definire all'interno del codice un vettore di interi di dimensione DIM, es. int array[] = {1, 5, 2, 4, 8, 1, 1, 9, 11, 4, 12}; ESERCIZI 2 LABORATORIO Problema 1 Definire all'interno del codice un vettore di interi di dimensione DIM, es. int array[] = {1, 5, 2, 4, 8, 1, 1, 9, 11, 4, 12}; Chiede all'utente un numero e, tramite ricerca

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Lezione 1: L architettura LC-3 Laboratorio di Elementi di Architettura e Sistemi Operativi 10 Marzo 2014

Lezione 1: L architettura LC-3 Laboratorio di Elementi di Architettura e Sistemi Operativi 10 Marzo 2014 Lezione 1: L architettura LC-3 Laboratorio di Elementi di Architettura e Sistemi Operativi 10 Marzo 2014 Ricorda... Il ciclo di esecuzione di un istruzione è composto da sei fasi: FETCH DECODE ADDRESS

Dettagli

la scienza della rappresentazione e della elaborazione dell informazione

la scienza della rappresentazione e della elaborazione dell informazione Sistema binario Sommario informatica rappresentare informazioni la differenza Analogico/Digitale i sistemi di numerazione posizionali il sistema binario Informatica Definizione la scienza della rappresentazione

Dettagli

Codifica binaria dei numeri

Codifica binaria dei numeri Codifica binaria dei numeri Caso più semplice: in modo posizionale (spesso detto codifica binaria tout court) Esempio con numero naturale: con 8 bit 39 = Codifica in virgola fissa dei numeri float: si

Dettagli

Registri. «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net

Registri. «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net Registri Registri semplici....................................... 1823 Registri a scorrimento..................................

Dettagli

SISTEMI DI NUMERAZIONE DECIMALE E BINARIO

SISTEMI DI NUMERAZIONE DECIMALE E BINARIO SISTEMI DI NUMERAZIONE DECIMALE E BINARIO Il sistema di numerazione decimale (o base dieci) possiede dieci possibili valori (0, 1, 2, 3, 4, 5, 6, 7, 8 o 9) utili a rappresentare i numeri. Le cifre possiedono

Dettagli

RAPPRESENTAZIONE GRAFICA DEGLI ALGORITMI

RAPPRESENTAZIONE GRAFICA DEGLI ALGORITMI RAPPRESENTAZIONE GRAFICA DEGLI ALGORITMI Diagramma di flusso L algoritmo può essere rappresentato in vari modi, grafici o testuali. Uno dei metodi grafici più usati e conosciuti è il cosiddetto diagramma

Dettagli

EXCEL PER WINDOWS95. sfruttare le potenzialità di calcolo dei personal computer. Essi si basano su un area di lavoro, detta foglio di lavoro,

EXCEL PER WINDOWS95. sfruttare le potenzialità di calcolo dei personal computer. Essi si basano su un area di lavoro, detta foglio di lavoro, EXCEL PER WINDOWS95 1.Introduzione ai fogli elettronici I fogli elettronici sono delle applicazioni che permettono di sfruttare le potenzialità di calcolo dei personal computer. Essi si basano su un area

Dettagli

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007 Sommario Macchine a stati finiti M. Favalli 5th June 27 4 Sommario () 5th June 27 / 35 () 5th June 27 2 / 35 4 Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante:

Dettagli

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi:

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi: Modulo 8 Elettronica Digitale Contenuti: Introduzione Sistemi di numerazione posizionali Sistema binario Porte logiche fondamentali Porte logiche universali Metodo della forma canonica della somma per

Dettagli

Analisi e diagramma di Pareto

Analisi e diagramma di Pareto Analisi e diagramma di Pareto L'analisi di Pareto è una metodologia statistica utilizzata per individuare i problemi più rilevanti nella situazione in esame e quindi le priorità di intervento. L'obiettivo

Dettagli

Macchine a stati finiti. Sommario. Sommario. M. Favalli. Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante:

Macchine a stati finiti. Sommario. Sommario. M. Favalli. Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante: Sommario Macchine a stati finiti M. Favalli Engineering Department in Ferrara 4 Sommario (ENDIF) Analisiesintesideicircuitidigitali / 35 (ENDIF) Analisiesintesideicircuitidigitali 2 / 35 4 Le macchine

Dettagli

Università degli Studi di Ferrara - A.A. 2014/15 Dott. Valerio Muzzioli ORDINAMENTO DEI DATI

Università degli Studi di Ferrara - A.A. 2014/15 Dott. Valerio Muzzioli ORDINAMENTO DEI DATI ORDINAMENTO DEI DATI Quando si ordina un elenco (ovvero una serie di righe contenenti dati correlati), le righe sono ridisposte in base al contenuto di una colonna specificata. Distinguiamo due tipi di

Dettagli

Corso di Informatica

Corso di Informatica Corso di Informatica Modulo T3 1-Sottoprogrammi 1 Prerequisiti Tecnica top-down Programmazione elementare 2 1 Introduzione Lo scopo di questa Unità è utilizzare la metodologia di progettazione top-down

Dettagli

Architettura della CPU e linguaggio assembly Corso di Abilità Informatiche Laurea in Fisica. prof. ing. Corrado Santoro

Architettura della CPU e linguaggio assembly Corso di Abilità Informatiche Laurea in Fisica. prof. ing. Corrado Santoro Architettura della CPU e linguaggio assembly Corso di Abilità Informatiche Laurea in Fisica prof. ing. Corrado Santoro Schema a blocchi di una CPU Arithmetic Logic Unit Control Unit Register File BUS Control

Dettagli

Corso di Laurea Ingegneria Informatica Fondamenti di Informatica

Corso di Laurea Ingegneria Informatica Fondamenti di Informatica Corso di Laurea Ingegneria Informatica Fondamenti di Informatica Dispensa 05 La rappresentazione dell informazione Carla Limongelli Ottobre 2011 http://www.dia.uniroma3.it/~java/fondinf/ La rappresentazione

Dettagli

Parte 1. Vettori di bit - AA. 2012/13 1.1

Parte 1. Vettori di bit - AA. 2012/13 1.1 1.1 Parte 1 Vettori di bit 1.2 Notazione posizionale Ogni cifra assume un significato diverso a seconda della posizione in cui si trova Rappresentazione di un numero su n cifre in base b: Posizioni a n

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 PRESTAZIONI DEL CALCOLATORE Massimiliano Giacomin Due dimensioni Tempo di risposta (o tempo di esecuzione): il tempo totale impiegato per eseguire un task (include

Dettagli

PROVA INTRACORSO TRACCIA A Pagina 1 di 6

PROVA INTRACORSO TRACCIA A Pagina 1 di 6 PROVA INTRACORSO DI ELEMENTI DI INFORMATICA MATRICOLA COGNOME E NOME TRACCIA A DOMANDA 1 Calcolare il risultato delle seguenti operazioni binarie tra numeri interi con segno rappresentati in complemento

Dettagli

Complementi di Analisi per Informatica *** Capitolo 2. Numeri Complessi. e Circuiti Elettrici. a Corrente Alternata. Sergio Benenti 7 settembre 2013

Complementi di Analisi per Informatica *** Capitolo 2. Numeri Complessi. e Circuiti Elettrici. a Corrente Alternata. Sergio Benenti 7 settembre 2013 Complementi di Analisi per nformatica *** Capitolo 2 Numeri Complessi e Circuiti Elettrici a Corrente Alternata Sergio Benenti 7 settembre 2013? ndice 2 Circuiti elettrici a corrente alternata 1 21 Circuito

Dettagli