Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica"

Transcript

1 Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali

2 Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali

3 Es.1 - Mappe di Karnaugh Date le due funzioni f e g: f = wxy + yz + wyz + xyz g = (w + x + y + z )(x + y + z)(w + y + z ) trovare la forma minima della funzione F = f! g impiegando le mappe di Karnaugh. Calcolatori Elettronici Mappe di Karnaugh 3

4 Es.1 - Soluzione Le tabelle di verità delle funzioni f e g riportate su due mappe di Karnaugh sono: f = wxy + yz + wyz + xyz g = (w + x + y + z )(x + y + z)(w + y + z ) Calcolatori Elettronici Mappe di Karnaugh 4

5 Es.1 - Soluzione F = f! g = w! x! y! z + w! y! z + x! y! z Calcolatori Elettronici Mappe di Karnaugh 5

6 Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali

7 Es.2 - Reti Logiche Progettare una rete logica fatta di porte logiche OR, AND e NOT che, dati due operandi a due bit, ne calcoli la somma ed il bit di riporto. Si usino le mappe di Karnaugh per semplificare le espressioni booleane dei bit di somma e di riporto. Si tratta di una rete logica combinatoria o sequenziale? Si indichi una rete logica alternativa per realizzare la stessa funzione. Calcolatori Elettronici Reti Logiche 7

8 Es.2 - Soluzione Indicando con A1 e A0 i bit più e meno significativo del primo operando, con B1 e B0 i corrispondenti del secondo, con S0 e S1 i bit meno e più significativo della somma, e con C il bit di riporto, si ottiene: A1 A0 B1 B0 C S1 S Calcolatori Elettronici Reti Logiche 8

9 Es.2 - Soluzione C = A1B1+ A0B1B0 + A1A0B0 S0 = A0B0 + A0B0 Calcolatori Elettronici Reti Logiche 9

10 Es.2 - Soluzione Si noti come la disposizione degli 1 in tutte le mappe di Karnaugh sia simmetrica, il che deriva dalla proprietà commutativa della somma (in altri termini, si possono invertire i valori degli operandi A1A0 e B1B0 ottenendo lo stesso risultato). S1 = A1B1B0 + A1A0B1+ A1A0B1+ A1B1B0 + A1A0B1B0 + A1A0B1B0 Calcolatori Elettronici Reti Logiche 10

11 Es.2 - Soluzione Si tratta di una rete logica combinatoria o sequenziale? Si tratta di una rete logica combinatoria perché le uscite dipendono unicamente dagli ingressi ad un dato istante. Si indichi una rete logica alternativa per realizzare la stessa funzione. Una rete alternativa è ovviamente data da un parallel adder. Calcolatori Elettronici Reti Logiche 11

12 Es.3 - Reti Logiche Progettare una rete logica che calcoli il complemento a 2 di un operando a tre bit. Indicare a quale tipo di reti logiche appartiene la rete progettata, e spiegarne il motivo. Il complemento a 2 di un generico numero X corrisponde al suo valore negato, ovvero a -X. X è rappresentato in base 2 su N bit come x n-1 x 1. -X si ottiene negando bit a bit il numero X e successivamente aggiungendo 1, ovvero:! X = x n!1 x n!2... x X ' = x n!1 x n!2... x 1 dove X è detto complemento a 1 di X Calcolatori Elettronici Reti Logiche 12

13 Es.3 - Soluzione Tabella di verità: Ingressi Uscite A B C A B C Calcolatori Elettronici Reti Logiche 13

14 Es.3 - Soluzione A' = AB + AC + AB!C B' = BC + BC C ' = C Calcolatori Elettronici Reti Logiche 14

15 Es.3 - Soluzione Indicare a quale tipo di reti logiche appartiene la rete progettata, e spiegarne il motivo. Si tratta ovviamente di una rete logica combinatoria, in quanto le uscite dipendono soltanto dai valori degli ingressi ad un dato istante. Calcolatori Elettronici Reti Logiche 15

16 Es.3 - Soluzione Alternativa 0 X X -X 1 Calcolatori Elettronici Reti Logiche 16

17 Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali

18 Reti sequenziali: analisi e sintesi X Rete sequenziale Rete combinatoria per la transizione dello stato FF ritardante S FF S Rete Y FF combinatoria per il calcolo FF dell uscita Analisi: dal circuito, risalire alla funzione svolta dalla rete sequenziale. Sintesi: dalla definizione dei requisiti, progettare il circuito che realizza la funzionalità richiesta.

19 Flip Flop

20 Es.4 - Reti Sequenziali A partire dallo schema circuitale mostrato in figura, scrivere la tabella delle transizioni e indicare qual è la funzionalità svolta dal circuito. A B Y AND OR X Esprimere in modo chiaro e sintetico la differenza tra un latch e un flip-flop. Y AND N.B.: Y rappresenta l uscita della OR riportata in ingresso alle due porte AND. Il pallino indica che l ingresso della prima AND è negato. Calcolatori Elettronici Latch e Flip-Flop 20

21 Es.4 - Soluzione Scrivere la tabella delle transizioni e indicare qual è la funzionalità svolta dal circuito. A B Y X A B Y Y AND AND OR Sostituendo nell equazione caratteristica: Q = X, J = A, K = B si riconosce l equazione caratteristica di un LATCH JK. X X = Y A + YB Calcolatori Elettronici Latch e Flip-Flop 21

22 Es.4 - Soluzione Più esplicitamente, negando la seconda colonna, sostituendo J=A e K=B e riordinando le righe: A B Y X A B Y X J K Y X Lettura dalla Memoria (X=Y) Reset (X=0) Set (X=1) Toggle (X=Y) (Inversione) Calcolatori Elettronici Latch e Flip-Flop 22

23 Es.5 - Reti Sequenziali Dato il circuito in figura, scrivere la tabella delle transizioni e il diagramma degli stati. Quale funzione implementa il circuito? A A B B Q Q Q Q T A T B CP OR OR Calcolatori Elettronici Latch e Flip-Flop 23

24 Es.5 - Soluzione Il circuito rappresenta una rete sequenziale pilotata unicamente dal segnale di sincronismo e dalle uscite di due flip flop T, dei quali riportiamo la tabella di eccitazione: Q Q T A A B B Q Q Q Q CP OR T A OR T B T A = A + B T B = A + B Calcolatori Elettronici Latch e Flip-Flop 24

25 Es.5 - Soluzione Il circuito implementa un contatore sincrono modulo tre. N.B.: modulo k = k stati; 2 N = numero di stati rappresentabili con N Flip-Flop. T A = A + B T B = A + B A B A SUCC T A B SUCC T B Q Q T Calcolatori Elettronici Latch e Flip-Flop 25

26 Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali

27 Es.6 - Reti Sequenziali Si consideri una rete sequenziale avente un ingresso X e una uscita Z. L uscita Z assume il valore 1 se e solo se viene riconosciuta la sottosequenza 0100, negli altri casi vale Disegnare il diagramma degli stati. 2. Codificare gli stati e scrivere la tabella di flusso. Scrivere inoltre la tabella delle transizioni qualora si utilizzino flip flop di tipo JK. 3. Calcolare le forme minime per le variabili di eccitazione dei flip flop e per l uscita, impiegando le mappe di Karnaugh. Calcolatori Elettronici Reti Sequenziali 27

28 Es.6 - Soluzione Il diagramma degli stati e tabella di flusso: 1/0 0/0 Sequenza X = 0100 S 0 0/0 S 1 X/Z S 2 1/0 1/0 0/0 1/0 S 3 0/1 Stato iniziale START Stato finale/uscita x = 0 x = 1 S0 S1/0 S0/0 S1 S1/0 S2/0 S 1 = 0 S 2 = 01 S 3 = 010 S2 S3/0 S0/0 S3 S1/1 S2/0 Calcolatori Elettronici Reti Sequenziali 28

29 Es.6 - Soluzione Codifica degli stati e tabella delle transizioni: S 0 = 00 S 1 = 01 S 2 = 10 S 3 = 11 Y 1 Y 0 = STATO ATTUALE Y 1 Y 0 = STATO FUTURO Z = Y 1 Y 0 X Y 1 Y 0 X Y 1 J 1 K 1 Y 0 J 0 K 0 Z d 1 1 d d 0 0 d d 1 d d 0 d d d d d d 1 1 d d 0 0 d 1 0 Calcolatori Elettronici Reti Sequenziali 29

30 Es.6 - Soluzione Mappe di Karnaugh e variabili di eccitazione dei flip flop: Y 1 Y 0 Y 1 Y 0 x x d d 0 d d d d 1 d d 1 J1 = Y 0 X K1= Y 0 X+ Y 0 X Y 1 Y 0 Y 1 Y 0 x x d d 1 0 d d 1 d d 1 d 1 1 d J 0 = X K 0 = X Calcolatori Elettronici Reti Sequenziali 30

31 Es. 7 - Reti sequenziali Progettare una rete sequenziale che presenti un ingresso X e un uscita Z posta a 1 ogni volta che viene riconosciuta la sequenza Si richiede: 1. il diagramma degli stati, la tabella di flusso e la tabella delle transizioni; 2. il calcolo delle forme minime delle variabili di eccitazione dei flip flop con le mappe di Karnaugh. Si usino flip flop JK. 3. Calcolare anche la rete combinatoria per l uscita Z.

32 Es. 7 - Soluzione

33 Es. 7 - Soluzione

34 Es. 7 - Soluzione

35 Es. 7 - Soluzione

36 Es. 7 - Soluzione

37 Es. 7 - Soluzione

38 Es. 7 - Soluzione

x y z F x y z F

x y z F x y z F Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Tutorato di Ing. Roberto Casula Ing. Rita Delussu casula.roberto103@hotmail.it rita.delussu2016@gmail.com Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Progettare un riconoscitore

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Esercitazione di Calcolatori Elettronici Ing. Battista Biggio. Corso di Laurea in Ingegneria Elettronica. Esercitazione 1 (Capitolo 2) Reti Logiche

Esercitazione di Calcolatori Elettronici Ing. Battista Biggio. Corso di Laurea in Ingegneria Elettronica. Esercitazione 1 (Capitolo 2) Reti Logiche Esercitazione di Calcolatori Elettronici Ing. Battista Biggio Corso di Laurea in Ingegneria Elettronica Esercitazione 1 (Capitolo 2) Reti Logiche Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie

Dettagli

Esercitazioni di Reti Logiche. Lezione 5

Esercitazioni di Reti Logiche. Lezione 5 Esercitazioni di Reti Logiche Lezione 5 Circuiti Sequenziali Zeynep KIZILTAN zeynep@cs.unibo.it Argomenti Circuiti sequenziali Flip-flop D, JK Analisi dei circuiti sequenziali Progettazione dei circuiti

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Algebra di Boole X Y Z V. Algebra di Boole

Algebra di Boole X Y Z V. Algebra di Boole L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole che

Dettagli

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo.

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. NOME e COGNOME (stampatello): Compito A Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. 0 1 S1 S7/01 S2/11 S2 S2/10 S3/11 S3 S0 S2/01 S4 S0 S5/01 S5 S6/10 S4/11 S6 S5/10

Dettagli

Funzioni, espressioni e schemi logici

Funzioni, espressioni e schemi logici Funzioni, espressioni e schemi logici Il modello strutturale delle reti logiche Configurazioni di n bit che codificano i simboli di un insieme I i i n F: I S U u u m Configurazioni di m bit che codificano

Dettagli

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 7

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 7 Compito A Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 3 1/1 0/0 S 6 S 7 S 1 S 2 S 4 S 5 0/0 1/1 Esercizio 2 (15 punti) Progettare un

Dettagli

Esame di Progettazione di sistemi digitali. Prima Parte - Compito A

Esame di Progettazione di sistemi digitali. Prima Parte - Compito A Prima Parte - Compito A Esercizio (6 punti) Dati i valori nella rappresentazione in complemento a 2: A = 00000 e B=00 calcolare i valori decimali corrispondenti. Eseguire la somma e la differenza e verificare

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6. Prof. Rosario Cerbone

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6. Prof. Rosario Cerbone LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6 Prof. Rosario Cerbone rosario.cerbone@uniparthenope.it http://digilander.libero.it/rosario.cerbone a.a. 2008-2009 Circuiti Sequenziali In questa

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Testo di riferimento: [Congiu] - 2.4 (pagg. 37 57) Reti Logiche Combinatorie 00.b Analisi Minimizzazione booleana Sintesi Rete logica combinatoria: definizione 2 Una rete logica combinatoria èuna rete

Dettagli

Algebra e circuiti elettronici

Algebra e circuiti elettronici Algebra e circuiti elettronici I computer operano con segnali elettrici con valori di potenziale discreti Sono considerati significativi soltanto due potenziali (high/ low); i potenziali intermedi, che

Dettagli

x y z F x y z F 0 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 F = x z + y z + yz + xyz G = wyz + vw z + vwy + vwz + v w y z Sommario

x y z F x y z F 0 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 0 1 0 1 1 0 1 1 1 1 F = x z + y z + yz + xyz G = wyz + vw z + vwy + vwz + v w y z Sommario Esercitazione di Calcolatori Elettronici Prof. Gian Luca Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Esercizi assortiti di Architetture

Esercizi assortiti di Architetture Esercizi assortiti di Architetture Guido Sciavicco There are just 10 kinds of people: those who understand binary code, and those who don t. 1 Circuiti Combinatori 1. Si semplifichi la seguente espressione

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4.

Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4. I Soluzioni agli esercizi apitolo 2 Soluzione 2.. Partendo dall espressione a destra dell uguale si applica ripetutamente il teorema di e Morgan ed infine la proprietà distributiva. Soluzione 2.2. cb +

Dettagli

Architetture 1 AA Canale EO Andrea Sterbini 26 Gennaio Parte 1

Architetture 1 AA Canale EO Andrea Sterbini 26 Gennaio Parte 1 Esercizio 1 (5 punti) Architetture 1 AA 2003-2004 Canale EO Andrea Sterbini 26 Gennaio 2004 Parte 1 Si dimostri senza usare l'induzione perfetta l'identità: x y x y x y z=x z x y x y z Esercizio 2 (10

Dettagli

Algebra di Boole Algebra di Boole

Algebra di Boole Algebra di Boole 1 L algebra dei calcolatori L algebra booleana è un particolare tipo di algebra in cui le variabili e le funzioni possono solo avere valori 0 e 1. Deriva il suo nome dal matematico inglese George Boole

Dettagli

Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone

Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone Ing. Gestionale e delle Telecomunicazioni A.A. 2007/08 Gabriele Cecchetti Reti Sequenziali Asincrone Sommario: Definizione Condizioni di pilotaggio

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere Un modello che permetta di rappresentare insiemi di numeri binari; Le funzioni che li mettano

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali Reti Logiche Prof. B. Buttarazzi A.A. 29/2 Reti Sequenziali Sommario Analisi di Reti Sequenziali Sintesi di Reti Sequenziali Esercizi 3/6/2 Corso di Reti Logiche 29/ 2 Analisi di Reti Sequenziali Passare

Dettagli

Tecniche di semplificazione. Circuiti digitali notevoli

Tecniche di semplificazione. Circuiti digitali notevoli Architettura degli Elaboratori e delle Reti Lezione 5 Tecniche di semplificazione Circuiti digitali notevoli F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano A.A.

Dettagli

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO E VECCHIO ORDINAMENTO DIDATTICO 27 Febbraio 2003

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO E VECCHIO ORDINAMENTO DIDATTICO 27 Febbraio 2003 SOLUZIONI DELLA PROVA SCRIA DEL CORSO DI NUOVO E VECCHIO ORDINAMENO DIDAICO 27 Febbraio 2003 MOIVARE IN MANIERA CHIARA LE SOLUZIONI PROPOSE A CIASCUNO DEGLI ESERCIZI SVOLI ESERCIZIO 1 (10 punti) Dall analisi

Dettagli

Michele Angelaccio / Berta Buttarazzi. Reti logiche. PARTE SECONDA Reti sequenziali

Michele Angelaccio / Berta Buttarazzi. Reti logiche. PARTE SECONDA Reti sequenziali A09 37 Michele Angelaccio / Berta Buttarazzi Reti logiche PARTE SECONDA Reti sequenziali Copyright MMIV ARACNE EDITRICE S.r.l. www.aracneeditrice.it info@aracneeditrice.it 00173 Roma via Raffaele Garofalo,

Dettagli

Tutorato architettura degli elaboratori modulo I (lezione 3)

Tutorato architettura degli elaboratori modulo I (lezione 3) Tutorato architettura degli elaboratori modulo I (lezione 3) Moretto Tommaso 03 November 2017 1 Algebra di Boole L aritmetica binaria è stata adottata perché i bit sono rappresentabili naturalmente tramite

Dettagli

Cognome:, Nome: Matricola:

Cognome:, Nome: Matricola: I Prova Intercorso Fondamenti di Informatica e Programmazione, A.A. 2017/18 Docente: R. Pizzolante Traccia A Cognome:, Nome: Matricola: Spazio riservato alla commissione esaminatrice 1 2 3 4 5 6 7 8 9

Dettagli

Esercitazione del 26/03/ Soluzioni

Esercitazione del 26/03/ Soluzioni Esercitazione del 26/03/2009 - oluzioni 1. Bistabile asincrono C (detto anche R) C C ~ Tabella delle transizioni o stato prossimo: C * 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 X 1 1 1 X Configurazioni

Dettagli

Fondamenti di Informatica A.A. 2017/18

Fondamenti di Informatica A.A. 2017/18 Fondamenti di Informatica S i m u l a z i o n e P r i m a P rova Inte rco rs o P ro f. C h r i st i a n E s p o s i to C o rs o d i L a u re a i n I n g e g n e r i a M e c ca n i ca e G e st i o n a l

Dettagli

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1.

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1. PORTE LOGICHE Premessa Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Prof. Arcangelo Castiglione A.A. 2017/18 Outline Algebra di Boole Relazione con i Circuiti Logici Elementi Costitutivi Operatori Logici Elementari Funzioni Logiche (o Booleane)

Dettagli

Costruzione di. circuiti combinatori

Costruzione di. circuiti combinatori Costruzione di circuiti combinatori Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1 A B (A + B) 0 0 0 0 1 1 1 0 1 1 1 1 AND (prodotto): l uscita è 1

Dettagli

Esercitazioni di Reti Logiche. Lezione 3

Esercitazioni di Reti Logiche. Lezione 3 Esercitazioni di Reti Logiche Lezione 3 Semplificazione & Porte NAND/NOR Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Semplificazione con l uso delle mappe di Karnaugh a 3 variabili a 4 variabili

Dettagli

Algebra di Commutazione

Algebra di Commutazione Algebra di Commutazione Maurizio Palesi Maurizio Palesi 1 Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere Un modello che permette di rappresentare insiemi di numeri

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Algebra di Boole e Circuiti Logici Prof. Christian Esposito Corso di Laurea in Ingegneria Meccanica e Gestionale (Classe I) A.A. 2017/18 Algebra di Boole e Circuiti Logici L Algebra

Dettagli

Reti Logiche A Appello del 9 luglio 2009

Reti Logiche A Appello del 9 luglio 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Reti Logiche A Appello del 9 luglio 2009 Matricola Cognome Nome

Dettagli

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI 1 Classificazione dei circuiti logici Un circuito è detto combinatorio se le sue uscite (O i ) sono determinate univocamente dagli ingressi (I i ) In pratica

Dettagli

Dispensa di Informatica I.5

Dispensa di Informatica I.5 LE MACCHINE COMBINATORIE La capacità elaborativa del calcolatore risiede nel processore; il processore è in grado di eseguire un set di azioni elaborative elementari più o meno complesse Le istruzioni

Dettagli

Esercizi Risolti RETI LOGICHE T (Modulo 2)

Esercizi Risolti RETI LOGICHE T (Modulo 2) Esercizio 1 Utilizzando l approccio visto nella realizzazione dell adder binario interno alla ALU si esegua il procedimento di sintesi del componente ADDER_5 4 che sommi operandi in base 4 (es 3+3=12;

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori...

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori... Indice Prefazione del Prof. Filippo Sorbello........................... VII Prefazione del Prof. Mauro Olivieri............................ Prefazione degli autori.........................................

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Algebra di Boole e Circuiti Logici Prof. Christian Esposito Corso di Laurea in Ingegneria Meccanica e Gestionale (Classe I) A.A. 2016/17 Algebra di Boole e Circuiti Logici L Algebra

Dettagli

05EKL-Progetto di Circuiti Digitali. Richiami di Reti Logiche

05EKL-Progetto di Circuiti Digitali. Richiami di Reti Logiche 5EKL-Progetto di Circuiti Digitali Tutore: Federico Quaglio federico.quaglio@polito.it -564 44 (44) Richiami di Reti Logiche Tutoraggio # Sommario Richiami di algebra booleana Mappe di Karnaugh Coperture

Dettagli

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna Logica binaria Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 Rappresentazione dell'informazione I calcolatori

Dettagli

Calcolatori Elettronici Prof. Ing. Fabio Roli

Calcolatori Elettronici Prof. Ing. Fabio Roli Calcolatori Elettronici Prof. Ing. Fabio Roli Corso di Laurea in Ingegneria Elettronica Capitolo 2 Reti Logiche Fonti principali: Appunti del Docente; Stallings, W., "Architettura e organizzazione dei

Dettagli

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali ESERCITAZIONE 4.5 Approfondimento Circuiti Logici e Sequenziali 2 Approfondimento: multiplexer 3 Multiplexer: soluzione alternativa Multiplexer: composizione interna 4 Multiplexer: soluzione alternativa

Dettagli

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA.

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA. a Esercizio 1. Sintetizzare un circuito sequenziale sincrono in base alle specifiche temporali riportate nel seguito. Il circuito riceve in input solo il segnale di temporizzazione (CK) e produce tre uscite,

Dettagli

Come realizzate un AND a tre ingressi utilizzando solo porte NAND? Disegnate lo schema circuitale.

Come realizzate un AND a tre ingressi utilizzando solo porte NAND? Disegnate lo schema circuitale. COMPITO A Esercizio 1 (5 punti) Come realizzate un AND a tre ingressi utilizzando solo porte NAND? Disegnate lo schema circuitale. AND(x, y, z) = NAND(NAND(x, NAND(NAND(y, z), NAND(y, z))), NAND(x, NAND(NAND(y,

Dettagli

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome Secondo Esonero

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome Secondo Esonero ESAME di PROGETTAZIONE di SISTEMI DIGITALI 21 Gennaio 2016 FILA A Nome e Cognome Secondo Esonero Esame Esercizio 1 (5 punti). Si considerino due registri sorgente S 0 ed S 1 e quattro registri destinazione

Dettagli

Esercizi sulle Reti Sequenziali Sincronizzate

Esercizi sulle Reti Sequenziali Sincronizzate Esercizi sulle Reti Sequenziali Sincronizzate Corso di Laurea di Ing. Gestionale e di Ing. delle Telecomunicazioni A.A. 27-28 1. Disegnare il grafo di stato di una RSS di Moore avente tre ingressi A, B,

Dettagli

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali Titolo lezione Fondamenti di informatica II 1 Sintesi di reti logiche sequenziali Reti combinatorie e sequenziali Fondamenti di informatica II 2 Due sono le tipologie di reti logiche che studiamo Reti

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Algebra di Boole di Boole e Circuiti e Circuiti Logici Logici Prof. XXX Prof. Arcangelo Castiglione A.A. 2016/17 A.A. 2016/17 L Algebra di Boole 1/3 Un po di storia Il matematico

Dettagli

Circuiti digitali combinatori

Circuiti digitali combinatori Circuiti digitali combinatori Parte 1 Definizioni George Boole George Boole (Lincoln, 2 novembre 1815 Ballintemple, 8 dicembre 1864) Matematico e logico britannico Considerato il fondatore della logica

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Corso di studi in Ingegneria Elettronica A.A. 2006/2007. Calcolatori Elettronici. Esercitazione n 2

Corso di studi in Ingegneria Elettronica A.A. 2006/2007. Calcolatori Elettronici. Esercitazione n 2 Corso di studi in Ingegneria Elettronica A.A. 26/27 Calcolatori Elettronici Esercitazione n 2 Codici a correzione di errore Recupero degli errori hardware tramite codifiche ridondanti Codifiche con n =

Dettagli

Reti Logiche A Prova di giovedì 3 febbraio 2005

Reti Logiche A Prova di giovedì 3 febbraio 2005 olitecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A rova di giovedì febbraio 005 Matricola Cognome Nome

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli

A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A

A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A A cura di F. Ferrandi, C. Silvano, A. Antola Ultimo aggiornamento, 16 aprile 2004 Questi appunti sono stati possibili anche per

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Sequenziali Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico 2007/2008 Sintesi dei circuiti sequenziali

Dettagli

Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis. Algebra booleana. Operatori logici di base P AND Q = P Q

Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis. Algebra booleana. Operatori logici di base P AND Q = P Q Calcolatori Elettronici Prof. Ing. Gian Luca Marcialis Corso di Laurea in Ingegneria Elettronica Capitolo 2 Reti Logiche Fonti principali: Appunti del Docente; Stallings, W., "Architettura e organizzazione

Dettagli

Esame di Architettura degli Elaboratori I Canali E-O e P-Z 10 Settembre 2002

Esame di Architettura degli Elaboratori I Canali E-O e P-Z 10 Settembre 2002 Esame di Architettura degli Elaboratori I Canali E-O e P-Z 10 Settembre 2002 Compito A Esercizio 1 (15 punti) Nella rete logica mostrata in figura la porta 3 è difettosa e produce il valore logico 1 all

Dettagli

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana Fondamenti di Informatica per Meccanici Energetici - iomedici 1 Mr. oole lgebra di oole George oole: Matematico inglese del XIX secolo lgebra che descrive le leggi del pensiero Logica da cui è possibile

Dettagli

Secondo esonero di Architetture degli Elaboratori I Canale P-Z 22 Gennaio 2007

Secondo esonero di Architetture degli Elaboratori I Canale P-Z 22 Gennaio 2007 Compito A Secondo esonero di Architetture degli Elaboratori I Canale P-Z 22 Gennaio 27 Esercizio (4 punti) Progettare una rete sequenziale con 2 linee di ingresso e y e una linea di uscita z tale che:

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Modulo Calcolatori Elettronici Prof. Ing. Fabio Roli

Modulo Calcolatori Elettronici Prof. Ing. Fabio Roli Modulo Calcolatori Elettronici Prof. Ing. Fabio Roli Corsi di Laurea in Ingegneria Elettrica, Elettronica ed Informatica, Ingegneria Biomedica Capitolo 2 Reti Logiche Fonti principali: Appunti del Docente;

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequenziali sincrone ESERCIZIO N. Si esegua la sintesi di una rete sequenziale sincrona caratterizzata da un unico segnale di ingresso (X) e da un unico segnale di uscita (Z),

Dettagli

A.A. 2003/2004 Esercizi di Reti Logiche A

A.A. 2003/2004 Esercizi di Reti Logiche A A.A. 2003/2004 Esercizi di Reti Logiche A A cura di F. Ferrandi, C. Silvano Ultimo aggiornamento, 11 novembre 2003 Questi appunti sono stati possibili anche per il lavoro fatto da alcuni studenti del corso

Dettagli

Forme canoniche, circuiti notevoli, criteri di ottimizzazione

Forme canoniche, circuiti notevoli, criteri di ottimizzazione Architettura degli Elaboratori e delle Reti Lezione 5 Forme canoniche, circuiti notevoli, criteri di ottimizzazione Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università

Dettagli

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO ORDINAMENTO DIDATTICO 2 Luglio 2004

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. NUOVO ORDINAMENTO DIDATTICO 2 Luglio 2004 SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI NUOVO ORDINAMENTO DIDATTICO 2 Luglio 2004 MOTIVARE IN MANIERA CHIARA LE SOLUZIONI PROPOSTE A CIASCUNO DEGLI ESERCIZI SVOLTI ESERCIZIO 1 (9 punti) (a) (5 punti)

Dettagli

Laboratorio del 10/11/ Soluzioni

Laboratorio del 10/11/ Soluzioni Laboratorio del 10/11/2010 - Soluzioni Rappresentazioni possibili per una funzione logica: circuito logico: A B Y forma tabellare (tabella lookup): formula algebrica: A B Y 0 0 0 0 1 1 1 0 1 1 1 0 Y= (NOT

Dettagli

Cognome:, Nome: Matricola:

Cognome:, Nome: Matricola: I Prova Intercorso Fondamenti di Informatica e Programmazione, A.A. 2017/18 Docente: R. Pizzolante Traccia C Cognome:, Nome: Matricola: Spazio riservato alla commissione esaminatrice 1 2 3 4 5 6 7 8 9

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

COMPITO A. Esercizio 1 (17 punti)

COMPITO A. Esercizio 1 (17 punti) Esercizio (7 punti) COMPITO A Si hanno a disposizione due registri sorgente S e S da 6 bit che contengono reali memorizzati in rappresentazione a virgola mobile normalizzata : il primo bit (b ) rappresenta

Dettagli

PRIMA PROVA INTERMEDIA DEL MODULO DI. 22 aprile 2016

PRIMA PROVA INTERMEDIA DEL MODULO DI. 22 aprile 2016 PRIMA PROVA INTERMEDIA DEL MODULO DI 22 aprile 2016 MOTIVARE IN MANIERA CHIARA LE SOLUZIONI PROPOSTE A CIASCUNO DEGLI ESERCIZI SVOLTI NOME: COGNOME: MATRICOLA: ESERCIZIO 1 (8 punti) Progettare un riconoscitore

Dettagli

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND.

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND. 1 Elementi di memoria: flip-flop e registri Porte logiche elementari CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Livello fisico

Dettagli

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Reti Sincrone Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Le variabili di stato future sono quelle all ingresso dei FF-D mentre le variabili di stato presente sono le uscite dei

Dettagli

Domande di Reti Logiche compito del 10/01/2017

Domande di Reti Logiche compito del 10/01/2017 Barrare una sola risposta per ogni domanda Il punteggio finale è -1 (n. di risposte errate + n. domande lasciate in bianco) Usare lo spazio bianco sul retro del foglio per appunti, se serve Una sintesi

Dettagli

Reti Logiche Appello del 5 luglio 2007 Seconde prove

Reti Logiche Appello del 5 luglio 2007 Seconde prove Appello del 5 luglio 27 Seconde prove (D2 Dimostrare che se una funzione di commutazione è rappresentata nella forma canonica in somma di prodotti come f m+ m2 +... + mn dove gli m i sono mintermini, allora

Dettagli

Logica binaria. Cap. 1.1 e 2.1 dispensa

Logica binaria. Cap. 1.1 e 2.1 dispensa Logica binaria Cap.. e 2. dispensa Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 / 24 Rappresentazione

Dettagli

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone RETI LOGICHE T Ingegneria Informatica Esercitazione 3 Reti Sequenziali Sincrone Marco Lippi (marco.lippi3@unibo.it) [Lucidi realizzati da Samuele Salti] Esercizio Sintesi RSS Si vuole progettare una rete

Dettagli

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini Appello di Progettazione di Sistemi Digitali 16 Settembre 2013 - Docenti: Proff. Gorla e Massini Esercizio 1 (3 punti): Convertire in base 4 con rappresentazione in virgola fissa il numero decimale 214,1362

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Una macchina sequenziale è definita dalla quintupla I è l insieme finito dei simboli d ingresso U è l insieme finito dei simboli

Dettagli

Prova d esame di Reti Logiche T 13 Luglio 2016

Prova d esame di Reti Logiche T 13 Luglio 2016 Prova d esame di Reti Logiche T 13 Luglio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Fondamenti di Informatica e Programmazione

Fondamenti di Informatica e Programmazione Fondamenti di Informatica e Programmazione Prof. G ianni D Angelo Email: giadangelo@unisa.it A. A. 2018/19 Circuito Logico Il cuore di un sistema digitale è il circuito logico digitale Progettato a partire

Dettagli

ESERCITAZIONE 2. Algebre di Boole e funzioni logiche Circuiti combinatori e sequenziali

ESERCITAZIONE 2. Algebre di Boole e funzioni logiche Circuiti combinatori e sequenziali ESERCITAZIONE 2 Algebre di Boole e funzioni logiche Circuiti combinatori e sequenziali 2 Algebre di Boole e funzioni logiche 13/11/2017 3 Algebre di Boole e funzioni logiche (1) 1) Rappresentare le funzioni

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo:

Dettagli

Circuti AND, OR, NOT Porte logiche AND

Circuti AND, OR, NOT Porte logiche AND Circuti AND, OR, NOT Porte logiche AND OR NOT A B C Esempio E = ~((AB) + (~BC)) E NAND e NOR NAND (AND con uscita negata): ~(A B) NOR (OR con uscita negata): ~(A+B) Si può dimostrare che le operazioni

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

PROVA SCRITTA DEL MODULO INTEGRATO E DEL CORSO DI

PROVA SCRITTA DEL MODULO INTEGRATO E DEL CORSO DI PROVA SCRITTA DEL MODULO INTEGRATO E DEL CORSO DI CORSO DI LAUREA IN INGEGNERIA ELETTRONICA 5/7 CFU CORSO DI LAUREA IN INGEGNERIA ELETTRICA ED ELETTRONICA, INGEGNERIA BIOMEDICA - 6 CFU 27 gennaio 2016

Dettagli

Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche

Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche Università degli Studi di Roma Tor Vergata Facoltà di Ingegneria Corso di Laurea in Ingegneria Medica Operazioni logiche L algebra di oole Rev.1.1 of 2012-04-26 Componenti logiche di un elaboratore Possiamo

Dettagli

62/8=,21,'(*/,(6(5&,=,

62/8=,21,'(*/,(6(5&,=, 62/8=,2,'(*/,(6(5&,=, $5&+,7(7785$'(*/,(/$%25$725,DD ±$5*2(7,35(5(48,6,7, SUHUHTXLVLWL: 5$335(6(7$=,2('(//(,)25$=,2,. convertire 25493 a binario (6 bit) e ad esadecimale; bisogna dividere per due considerando

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali - I circuiti sequenziali sono caratterizzati dal fatto che, in un dato istante tn+1 le uscite dipendono dai livelli logici di ingresso nell'istante tn+1 ma anche dagli stati assunti

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI SEQUENZIALI : ESERCIZI Massimiliano Giacomin 1 Implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente il suo stato secondo una regola

Dettagli

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli