PROVA DI SISTEMI ELETTRONICI ED AUTOMAZIONE INDIRIZZO: ELETTRONICA E TELECOMUNICAZIONI. Tema n 2 SOLUZIONE PROPOSTA DAL PROF.

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "PROVA DI SISTEMI ELETTRONICI ED AUTOMAZIONE INDIRIZZO: ELETTRONICA E TELECOMUNICAZIONI. Tema n 2 SOLUZIONE PROPOSTA DAL PROF."

Transcript

1 EAME DI TATO 2006 ECONDA POVA CITTA POVA DI ITEMI ELETTONICI ED AUTOMAZIONE INDIIZZO: ELETTONICA E TELECOMUNICAZIONI Tema n 2 OLUZIONE POPOTA DAL POF. EGIO BOLOGNINI Osservazioni iniziali ed ipotesi aggiuntive: Il tema richiede di progettare un sistema a microcontrollore o microprocessore. tante le prestazioni limitate richieste al sistema (accensione e spegnimento di periferici in tempi molto lunghi e precisione richiesta non spinta) si predilige una soluzione a microcontrollore. Il micro scelto deve avere una linea analogica di ingresso (per il sensore di temperatura), un convertitore A/D interno, 4 uscite digitali (per le elettrovalvole) e un ingresso digitale (on off) per il sensore di livello. La pompa è sempre sotto tensione per cui solo le elettrovalvole vengono comandate dal micro attraverso una semplice interfacci di potenza. i ipotizza di utilizzare elettrovalvole a 12V con corrente di eccitazione di circa 100mA. i ipotizza inoltre che il trasduttore di livello fornisca un segnale digitale 0- ( livello sup a. Un semplice esempio è fornito da due conduttori vicini immersi nell acqua e posti al livello desiderato, se l acqua raggiunge i conduttori chiude il contatto. Un elemento centrale del problema in questione è certamente la determinazione dell ora. Il sistema deve essere dotato di un orologio; in tal senso si hanno tre possibilità distinte: a. dotare il sistema di un modulo clock real time che fornisce l ora esatta al sistema attraverso un collegamento seriale b. fornire al micro un clock da 1Hz che gli consenta di incrementare tre celle relative a sec, min e ore. c. Utilizzare il clock del micro e attraverso il timer interno ricavare il clock efficace per la determinazione del tempo. La soluzione a seppure più performante richiede un modulo esterno in generale abbastanza costoso (soprattutto se si prevede che l aggiornamento dell ora avvenga via radio ). Le altre due soluzioni sono equivalenti con il vantaggio per la terza di non richiedere circuiteria aggiuntiva. In entrambe queste dovrà essere prevista una fase di setup del sistema in cui inserire l ora esatta da cui far partire l orologio interno. Per tale funzionalità si possono prevedere due tasti uno di up e uno di entry che consentano di inserire l ora esatta (premo entry e inserisco le ore modificandole con up e confermandole con una seconda pressione del tasto entry, che mi sposta ai minuti ecc. dopo l immissione dei secondi la pressione del tasto entry riporta al funzionamento normale).

2 Per quanto detto scegliamo la soluzione c ipotizzando quindi che all accensione (installazione) una fase di impostazione ora inizializzi ore minuti e secondi. Per le specifiche sopra esposte,risulta adatto un microcontrollore di fascia bassa a 8bit. i sceglie per la sua larga diffusione un micro MICOCHIP della serie PIC 16F87x CHEMA A BLOCCHI 12V ALIM. clock 12V Trasd. di Temp. ensore di livello Condiz. μc Interf. di Potenza Interf. di Potenza Valv1 Valv4 Alimentazione: Ipotizzando di avere una alimentazione generale a 12 V (utile anche per le elettrovalvole) la sez. di ALIM. arà composta da un semplice regolatore di tensione 7805 che fornisce una uscita stabile a 5 Volt. L alimentazione a 12 sarà utilizzata anche per la sezione di condizionamento del segnale proveniente dal trasduttore. Interfaccia di Potenza per le elettrovalvole: Come detto l elettrovalvola è elettricamente una bobina per comandarla possiamo utilizzare una semplice interfaccia a transistor, come riportato in figura. Il diodo di protezione protegge il transistor dalle sovratensioni generate dalla bobina interna all elettrovalvola in commutazione. μc 12V Valv b Dimensioniamo la b in modo che sia garantita la corrente di eccitazione dell elettrovalvola. 0,6V 4,4V b = = 4,4KΩ 100mA/ h 1mA FE cegliendo b = 2,2KΩ assicuro la saturazione.

3 Condizionamento del trasduttore di temperatura: Il segnale proveniente dal trasduttore di temperatura fornisce una tensione Vt=10mv/ K T Ipotizzando una T che varia da 0 C a 50 C ne segue che il valore in Kelvin oscilla da 273K a 323K Ciò fornisce una Vt che varia da 2,73V a 3,23V. Il micro scelto accetta in ingresso valori da 0 a è quindi necessario amplificare e traslare il segnale di tensione. La formula che consente di avere 0V in corrispondenza a 2,73V e in corrispondenza di 3,23V è Vomax Vomin Vo=(Vt Voffset) G dove G = = = 10 Vt max Vt min 0, Vomax Mentre Voffset = Vt max = 3,23V 0, = 2, 73V 10 Da cui il circuito seguente; un differenziale che sottrae 2,73 ed un amplificatore non invertente con guadagno 10. Vt + + 2,73V ,73V 2 Affinché il guadagno del secondo stadio sia 10 è necessario che G = 1 + = 10 da cui otteniamo 1 2=9KΩ (ottenibile con la serie di 2,2KΩ e 6,8KΩ) e 1=1KΩ. Non si è ritenuto necessario inserire un filtro passa basso perché l operazione di media delle temperature costituisce di fatto un filtraggio numerico passa basso.

4 Programma di gestione: Prima di presentare il diagramma di flusso alcune considerazioni. Il programma deve dalle 7.00 alle acquisire la temperatura ed effettuarne la media, non è quindi necessario salvare i singoli valori, ogni dato verrà sommato in un'unica variabile (su due celle a 8 bit MEDIAH e MEDIAL). In questa fase viene anche controllato il livello, se la linea viene trovata a 0 (livello inferiore a 2m) si attenderà la giornata successiva e per quella giornata non si effettuerà irrigazione (è plausibile pensare che il pozzo sia naturale e quindi che si possa riempire solo in tempi medio lunghi) Alle viene eseguita la media della temperatura: se inferiore a 15 non si avrà irrigazione (si aspetteranno ancora le 7.00). La temperatura determina il contenuto di una variabile indice che indirizza una tabella (di seguito) che contiene il tempo di irrigazione delle diverse zone. Ind min e la temp è compresa tra 15 e 25 l indice relativo è 1 e i tempi saranno relativi alla cella 1, 4, 7, 10. Indirizzando la tabella con i per la zona 1, i+3 per la 2, i+6 per la terza e i+9 per la quarta determino senza ulteriori controlli il tempo corretto di irrigazione (si noti in questo modo che i tempi sono facilmente modificabili modificando la tabella). La gestione dell orologio viene fatta in interrupt di timer. i imposta in modo che scateni interrupt ogni secondo, ad es. Timer a 16 bit, tempo di ciclo 1µs, prescaler 64 e timer precaricato a (contando in up va messo a 49911= ).

5 FLOW CHAT TAT etup timer, interrupt, A/D, POT I/O, caricamento TAB TEP = 7, MEDIA=0 OA=TEP OA<20 TEP=7 V1,2,3,4 <= OFF OA=20 TAT CONV A/D V1 <= ON omma a 16 bit Fine.conv. MEDIA=MEDIA+ADE LIV=0 TEP=TEP+1 OA=19 MEDIA=MEDIA/13 MIN=TAB(I) V1 <= OFF TM=MEDIA 50/255 OA=21 TEP=TEP+1 TEP=7 TM>15 V2 <= ON TEP=TEP+1 LIV=0 I=1 TM>25 I=2 TM>30 MIN=TAB(I+3) I=2 V2 <= OFF VEDI PAG. EGUENTE TEP=TEP+1

6 FLOW CHAT 2 PATE CONTINUA vai a spegni valvole vai a inizio OA=22 INTEUPT TIME V3 <= ON LIV=0 AZZEA FLAG INT EC=EC+1 EC=60 V4<= ON MIN=TAB(I+6) V3 <= OFF TEP=TEP+1 EC=0 LIV=0 MIN=MIN+1 MIN=60 MIN=0 OA=OA+1 MIN=TAB(I+9) V4 <= OFF TEP=7 OA=24 OA=0 ITONA i noti che la variabile step contiene l ora della prossima operazione, e che la stessa variabile viene caricata a 7 quando il livello scende sotto i due metri (spegnendo contestualmente le due valvole). In questo caso infatti l irrigazione va interrotta e si attende la giornata seguente (durante la quale verrà nuovamente controllato il livello). i osservi inoltre che durante la fase di setup viene caricata la tabella dei tempi precedentemente riportata.

7 CODIFICA egue la codifica in assembler per PIC 16F87x della porzione di programma che esegue la divisione per 13 e la successiva moltiplicazione per 10 e divisione per 51 (equivalente all operazione TM=MEDIA*50/255) i utilizza una routine DIVIDI che divide il valore 16 bit contenuto in MEDIAH e MEDIAL per il valore contenuto nel working e mette il risultato nella variabile I. La divisione viene eseguita per sottrazioni successive e ignorando il resto, mentre la moltiplicazione viene operata con il metodo delle somme successive. MOVLW 13d CALL DIVIDI ; chiama la routine che divide mediah-medial per 13 MOVF IUL,W ; mette il risultato in medial MOVWF MEDIAL MOVLW 9d ; carica nella variabile ind 9 (per la moltiplicaz. Per 10) MOVWF IND MOVF MEDIAL ; mette medial nel working Ciclo ADDWF MEDIAL,F ; medial=medial+w BTFC TATU,C INCF MEDIAH ; se c è stato carry incrementa mediah DECFZ INDEX GOTO Ciclo MOVLW 51d CALL DIVIDI ; con la routine divide mediah-medial per 51 MOVF I MOVWF TM ; copia il risultato in TM DIVIDI CLF I ; azzera risultato Loop UBWF MEDIAL,F ; medial=medial-w BTFC TATU,C ; se c e prestito (medial<w) salta la prox. Istruz GOTO INCEM ; vai a INCEM DECFZ MEDIAH ; decrementa mediah e se a zero salta prox. Istruz GOTO INCEM ; INCF I ; se mediah è zero salta la prox. Istruz vai a INCEM Loop1 UBWF MEDIAL,F ; medial=medial-w BTF TATU,C ; se c e prestito (medial<w) salta la prox. Istruz GOTO FINE ; vai a INCEM INCF I GOTO LOOP ; ritorna dalla subroutine INCEM INCF I GOTO LOOP FINE ETUN

M272 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE

M272 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE Pag. 1/1 Sessione ordinaria 2009 Seconda prova scritta M272 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE CORSO DI ORDINAMENTO Indirizzo: ELETTRONICA E TELECOMUNICAZIONI Tema di: SISTEMI ELETTRONICI AUTOMATICI

Dettagli

ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE. Indirizzo: Elettronica e Telecomunicazioni. Tema di Sistemi Elettronici Automatici TRACCIA 2

ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE. Indirizzo: Elettronica e Telecomunicazioni. Tema di Sistemi Elettronici Automatici TRACCIA 2 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE Indirizzo: Elettronica e Telecomunicazioni Tema di stemi Elettronici Automatici TRACCIA 2 Quest anno la traccia ministeriale è stata suddivisa in due temi,

Dettagli

Pilotare un motore passo-passo, in questo caso il modello della Sanyo le cui caratteristiche principali sono quelle di figura1.

Pilotare un motore passo-passo, in questo caso il modello della Sanyo le cui caratteristiche principali sono quelle di figura1. 10. Pilotaggio unipolare di un motore passo-passo Scopo della prova Pilotare un motore passo-passo, in questo caso il modello 103-547-52500 della Sanyo le cui caratteristiche principali sono quelle di

Dettagli

SVOLGIMENTO DEL TEMA DI ELETTRONICA PER L INDIRIZZO DI ELETTRONICA E TELECOMUNICAZIONI ( 23 GIUGNO 2011) (Autore Prof.ssa Maria Rosa Malizia)

SVOLGIMENTO DEL TEMA DI ELETTRONICA PER L INDIRIZZO DI ELETTRONICA E TELECOMUNICAZIONI ( 23 GIUGNO 2011) (Autore Prof.ssa Maria Rosa Malizia) SVOLGIMENTO DEL TEMA DI ELETTONICA PE L INDIIZZO DI ELETTONICA E TELECOMUNICAZIONI ( 23 GIUGNO 2011) (Autore Prof.ssa Maria osa Malizia) Punto 1: Disegnare lo schema a blocchi del sistema di acquisizione

Dettagli

M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE

M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE Pag. 1/2 Sessione ordinaria 2008 Seconda prova scritta M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE CORSO DI ORDINAMENTO Indirizzo: ELETTRONICA E TELECOMUNICAZIONI Tema di: ELETTRONICA (Testo valevole

Dettagli

Soluzione: prof. Stefano Mirandola PRIMA PARTE. 1) 2) Schema a blocchi e progetto circuitale della catena di condizionamento.

Soluzione: prof. Stefano Mirandola PRIMA PARTE. 1) 2) Schema a blocchi e progetto circuitale della catena di condizionamento. ITEC - ELETTRONICA ED ELETTROTECNICA Sessione ordinaria 206 ARTICOLAZIONE ELETTRONICA Tema di: ELETTROTECNICA ED ELETTRONICA Soluzione: prof. Stefano Mirandola PRIMA PARTE ) 2) Schema a blocchi e progetto

Dettagli

Appunti di ELETTRONICA Amplificatore operazionale (amp. Op oppure A. O.) - +

Appunti di ELETTRONICA Amplificatore operazionale (amp. Op oppure A. O.) - + Appunti di ELETTRONICA Amplificatore operazionale (amp. Op oppure A. O.) - + µa741 Cos'è l'amplificazione: Amplificare un segnale significa aumentarne il livello e di conseguenza la potenza. Il fattore

Dettagli

Terza esercitazione - Circuito che emula una catena di acquisizione del segnale. Vout. Sistema di conversione (10kHz; 0 +5V)

Terza esercitazione - Circuito che emula una catena di acquisizione del segnale. Vout. Sistema di conversione (10kHz; 0 +5V) Terza esercitazione - Circuito che emula una catena di acquisizione del segnale Progettare un sistema che acquisisce un segnale analogico 10Hz 10Vpp e lo converte in un segnale digitale codificato su due

Dettagli

Regolatore Elettronico per Valvole Proporzionali Singolo Solenoide

Regolatore Elettronico per Valvole Proporzionali Singolo Solenoide Il comando REP-100 è nato per pilotare valvole proporzionali con un solo solenoide ad anello aperto cioè quelle valvole che non hanno il trasduttore di posizionamento interno. Il REP100 è un dispositivo

Dettagli

Applicazioni dei microcontrollori PIC

Applicazioni dei microcontrollori PIC Applicazioni dei microcontrollori PIC In questa unità vengono esposte le modalità di realizzazione di un circuito hardware con il microcontroller PIC16C84 (o PIC16F84), per la prova dei programmi presentati

Dettagli

Mod. F04/EV SET DI MODULI APPLICATIVI PER MICROPROCESSORI E MICROCONTROLLORI INTRODUZIONE. 1- MODULO BASE mod. F04-0/EV SPECIFICHE TECNICHE:

Mod. F04/EV SET DI MODULI APPLICATIVI PER MICROPROCESSORI E MICROCONTROLLORI INTRODUZIONE. 1- MODULO BASE mod. F04-0/EV SPECIFICHE TECNICHE: SET DI MODULI APPLICATIVI PER MICROPROCESSORI E MICROCONTROLLORI Mod. F04/EV INTRODUZIONE Questo sistema di moduli con applicazioni varie, funziona collegato direttamente ad un altro modulo con microprocessore

Dettagli

Nella parte sx dello schema abbiamo gli input del microcontrollore il quale ha la funzione di elaborare dei dati che acquisisce in tempo reale.

Nella parte sx dello schema abbiamo gli input del microcontrollore il quale ha la funzione di elaborare dei dati che acquisisce in tempo reale. PROPOSTA DI SOLUZIONE PER LA SECONDA PROVA DI MATURITÀ 2018 TRACCIA: Tecnologie e Progettazione dei sistemi elettrici ed elettronici ARGOMENTO: Acquisizione dati in tempo reale con conseguenti azionamenti

Dettagli

Regolatore di pressione elettropneumatico Connessione G ¼

Regolatore di pressione elettropneumatico Connessione G ¼ Regolatore di pressione elettropneumatico Connessione G ¼ Catalogo 8683/IT La soluzione ECONOMICA che soddisfa le esigenze del mercato Design compatto e leggero per una facile installazione e una bassa

Dettagli

Centralina controllo pompa

Centralina controllo pompa Centralina controllo pompa INDICE 1 Il progetto...4 2 Gestione...6 2.1 TaskA_Main...6 2.2 TaskB_CpuClock...8 2.3 TaskC_InOut...12 2.4 Task ausiliari...13 2.5 Parametri ritentivi...13 3 Sonar...14 3.1 TaskD_Sonar...17

Dettagli

Non Food Dispenser MANUALE D USO DELLA SCHEDA ELETTRONICA CON LETTORE DI BADGE. Sinapsi NON-Food REV. 3 DEL 15/07/08

Non Food Dispenser MANUALE D USO DELLA SCHEDA ELETTRONICA CON LETTORE DI BADGE. Sinapsi NON-Food REV. 3 DEL 15/07/08 Non Food Dispenser MANUALE D USO DELLA SCHEDA ELETTRONICA CON LETTORE DI BADGE Sinapsi NON-Food REV. 3 DEL 15/07/08 INDICE 1. CARATTERISTICHE GENERALI... 3 2. SELEZIONE DEI MENÙ... 3 1. Lingua... 4 2.

Dettagli

Raccolta Test di Laboratorio di Sistemi a Microcontrollore

Raccolta Test di Laboratorio di Sistemi a Microcontrollore Raccolta Test di Laboratorio di Sistemi a Microcontrollore prof. Corrado Santoro 1 Digital I/O 1. Quale delle seguenti istruzioni permette di porre a 0 la linea PC4? GPIOC->ODR &= (int32_t)0x10; GPIOC->ODR

Dettagli

Corso di ELETTRONICA INDUSTRIALE INVERTITORI MONOFASE A TENSIONE IMPRESSA

Corso di ELETTRONICA INDUSTRIALE INVERTITORI MONOFASE A TENSIONE IMPRESSA 1 Corso di LTTRONICA INDUSTRIAL INVRTITORI MONOFAS A TNSION IMPRSSA 0. 2 Principi di funzionamento di invertitori monofase a tensione impressa 0. 3 Principi di funzionamento di invertitori monofase a tensione

Dettagli

SPECIFICHE TECNICHE SEC ST PLUS Specifiche tecniche regolatori ed accessori RSST04I8 rev Via Artigianale Croce, Castelnovo ne Monti (

SPECIFICHE TECNICHE SEC ST PLUS Specifiche tecniche regolatori ed accessori RSST04I8 rev Via Artigianale Croce, Castelnovo ne Monti ( SPECIFICHE TECNICHE SEC ST PLUS Specifiche tecniche regolatori ed accessori RSST04I8 rev. 8 0110 Via Artigianale Croce, 13 42035 Castelnovo ne Monti (RE) Tel. +39 0522 610 611 Fax. +39 0522 810 813 www.reverberi.it

Dettagli

; Registro abilitazione interrupt TR_A EQU 85H ; Tris A TR_B EQU 86H ; Tris B

; Registro abilitazione interrupt TR_A EQU 85H ; Tris A TR_B EQU 86H ; Tris B Lezione 3 Poichè al termine della seconda lezione ci siamo lasciati con un piccolo compito da svolgere, vediamo subito come potevamo implementare un led lampeggiante con frequenza di 2Hz, ovvero un secondo

Dettagli

OTOSYSTEM ED100 IRRIGATORE CALORICO

OTOSYSTEM ED100 IRRIGATORE CALORICO OTOSYSTEM ED100 IRRIGATORE CALORICO SCHEDA TECNICA Rev. 3 L Irrigatore calorico ED100 è un apparecchiatura atta all ausilio della diagnosi delle turbe dell equilibrio. L irrigatore calorico ED100 è uno

Dettagli

Ministero dell Istruzione dell Università e della Ricerca M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE

Ministero dell Istruzione dell Università e della Ricerca M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE Pag. 1/1 Sessione ordinaria 2011 Seconda prova scritta Ministero dell Istruzione dell Università e della Ricerca M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE CORSO DI ORDINAMENTO Indirizzo: ELETTRONICA

Dettagli

M320 - ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE

M320 - ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE Pag. 1/1 Sessione ordinaria 2005 Seconda prova scritta M320 - ESAME DI STATO DI ISTITUTO TECNICO INDUSTIALE COSO DI ODINAMENTO Indirizzo: ELETTONICA E TELECOMUNICAZIONI Tema di: ELETTONICA (Testo valevole

Dettagli

transducers Via Nomis di Cossilla TORINO ITALY Tel. (011) FAX (011)

transducers Via Nomis di Cossilla TORINO ITALY Tel. (011) FAX (011) transducers Via Nomis di Cossilla 12-10137 TORINO ITALY Tel. (011) 3097752 - FAX (011) 3098805 AMPLIFICATORE AC 112 PER CELLE DI CARICO A 6 CANALI MANUALE D USO Questo modello è adatto a contenere fino

Dettagli

Termometro digitale. Ivancich Stefano

Termometro digitale. Ivancich Stefano Termometro digitale Ivancich Stefano 11 Gennaio 2015 SOMMARIO Di seguito si illustra il progetto di un termometro digitale. Il progetto è molto utile per capire il funzionamento delle dinamiche interne

Dettagli

Capitolo IX. Convertitori di dati

Capitolo IX. Convertitori di dati Capitolo IX Convertitori di dati 9.1 Introduzione I convertitori di dati sono circuiti analogici integrati di grande importanza. L elaborazione digitale dei segnali è alternativa a quella analogica e presenta

Dettagli

Prime esperienze con la breadboard

Prime esperienze con la breadboard Progettazione nell'ambiente LPCXpresso Introduzione alla progettazione di sistemi embedded a microcontrollore Prime esperienze con la breadboard All text and image content in this document is licensed

Dettagli

M049 ESAME DI STATO DI ISTITUTO PROFESSIONALE

M049 ESAME DI STATO DI ISTITUTO PROFESSIONALE Sessione ordinaria 009 Seconda prova scritta M049 ESAME DI STATO DI ISTITUTO POFESSIONALE COSO DI ODINAMENTO Indirizzo: TECNICO DELLE INDUSTIE ELETTONICHE Tema di: ELETTONICA, TELECOMUNICAZIONI E APPLICAZIONI

Dettagli

Esercizio 1. Progettare la PO a partire dal microprogramma eseguibile e successivamente:

Esercizio 1. Progettare la PO a partire dal microprogramma eseguibile e successivamente: Architettura degli Elaboratori Prima prova di verifica intermedia - A.A. 2014-2015 Riportare Nome, Cognome, Numero di matricola e Corso di appartenenza su tutti i fogli consegnati. I risultati saranno

Dettagli

M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE. Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO

M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE. Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO M049 - ESAME DI STATO DI ISTITUTO PROFESSIONALE Indirizzo: TECNICO DELLE INDUSTRIE ELETTRONICHE CORSO DI ORDINAMENTO Tema di: ELETTRONICA, TELECOMUNICAZIONI E APPLICAZIONI Il candidato, formulando eventuali

Dettagli

M045 - ESAME DI STATO DI ISTITUTO PROFESSIONALE

M045 - ESAME DI STATO DI ISTITUTO PROFESSIONALE M045 - ESAME DI STATO DI ISTITUTO PROFESSIONALE CORSO DI ORDINAMENTO Indirizzo: TECNICO DELLE INDUSTRIE ELETTRICHE Tema di: SISTEMI AUTOMAZIONE E ORGANIZZAZIONE DELLA PRODUZIONE Sessione d esame: 2013

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 19 - E - 1: Comparatori di soglia Comparatori con isteresi Circuiti misti analogici

Dettagli

Corso di BASCOM (Capitolo 1 5 ) Corso Teorico/Pratico di programmazione in BASCOM Autore: DAMINO Salvatore. D I S P L A Y L C D (3).

Corso di BASCOM (Capitolo 1 5 ) Corso Teorico/Pratico di programmazione in BASCOM Autore: DAMINO Salvatore. D I S P L A Y L C D (3). Corso di BASCOM 8051 - (Capitolo 1 5 ) Corso Teorico/Pratico di programmazione in BASCOM 8051. Autore: DAMINO Salvatore. D I S P L A Y L C D (3). GESTIONE DI UNA SEMPLICE CALCOLATRICE. Questo programma

Dettagli

STRUTTURA BASE PER SISTEMA DI ACQUISIZIONE DATI DA BANCO

STRUTTURA BASE PER SISTEMA DI ACQUISIZIONE DATI DA BANCO STRUTTURA BASE PER SISTEMA DI ACQUISIZIONE DATI DA BANCO - Alimentazione 220Vac 50hz 80VA - Contenitore da tavolo in alluminio 471 x 147 x 312 mm (grado di protezione IP20) oppure montaggio a rack 19"

Dettagli

FRANCESCO MARINO - TELECOMUNICAZIONI

FRANCESCO MARINO - TELECOMUNICAZIONI ESAME DI STATO DI ISTITUTO TECNICO INDUSTIALE A.S. 2000/200 Indirizzo: INFOMATICA, COSO SPEIMENTALE - POGETTO ABACUS Tema di: ELETTONICA, TELECOMUNICAZIONI Si devono multiplare in FDM tre canali fonici

Dettagli

Progetto di Microcontrollori. Cronotermostato per Caldaia

Progetto di Microcontrollori. Cronotermostato per Caldaia Progetto di Microcontrollori Cronotermostato per Caldaia Obiettivo del Progetto Realizzazione di un dispositivo elettronico capace di gestire l accensione di una caldaia mediante il controllo della temperatura

Dettagli

Introduzione ai controlli automatici

Introduzione ai controlli automatici 1 Introduzione ai controlli automatici 1.1. Definizione di sistema. Nella tecnica dei controlli si definisce sistema un insieme di componenti coordinati tra loro in modo che: esista in esso una grandezza

Dettagli

K-19. Funzioni della vasca idromassaggio

K-19. Funzioni della vasca idromassaggio K-19 Sistema con due pompe Sistema con una pompa La Scheda di riferimento rapido fornisce una veloce panoramica sulle funzioni principali della vasca idromassaggio e sulle operazioni che si possono effettuare

Dettagli

DMX 30. PLC visualizzato per montaggio a quadro. CARATTERISTICHE ELETTRICHE Min 20Vcc ; Max 26 Vcc Min 15 Vac ; Max 21 Vac

DMX 30. PLC visualizzato per montaggio a quadro. CARATTERISTICHE ELETTRICHE Min 20Vcc ; Max 26 Vcc Min 15 Vac ; Max 21 Vac DMX 30 PLC visualizzato per montaggio a quadro - Sistema di fissaggio su barra interna al quadro - Elevata velocita' di esecuzione (2msec. tempo di ciclo standard) - Programmazione a contatti tramite linguaggio

Dettagli

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Un sistema per il rilevamento dell umidità

Un sistema per il rilevamento dell umidità Un esempio di utilizzo di astabili e monostabili Un sistema per il rilevamento dell umidità Il tema degli esami di stato del 2008 Pag. /2 Sessione ordinaria 2008 Seconda prova scritta M320 ESAME DI STATO

Dettagli

Campionatore vocale a lunghezza di parola e frequenza di campionamento variabile.

Campionatore vocale a lunghezza di parola e frequenza di campionamento variabile. Università degli Studi dell Aquila Facoltà di Ingegneria Corso di Laurea in Ingegneria Elettronica Campionatore vocale a lunghezza di parola e frequenza di campionamento variabile. Relatore Prof. Giorgio

Dettagli

Lab. T.P.S.E.E. - ITT Cerulli

Lab. T.P.S.E.E. - ITT Cerulli IL TEMPORIZZATORE INTEGRATO 555 Questo dispositivo è in grado di funzionare sia come generatore d onda quadra (multivibratore astabile) che come multivibratore monostabile (generatore di impulso singolo).

Dettagli

Regolatore differenziale Lago SD

Regolatore differenziale Lago SD Regolatore differenziale Lago SD Prospetto del prodotto I 0 Edition 05.08 Semplicità di programmazione e di utilizzo Configurazione automatica tramite selezione dello schema di impianto Riconoscimento

Dettagli

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V

0 20mV; 0 40mV; 0 80mV; 0 160mV; 0 320mV; 0 640mV; 0 1,28V; 0 2,56V 0 5V; 0 10V ITM scheda misure analogiche INTERFACCE DI PROCESSO ITM fornisce 8 ingressi analogici completamente isolati, ciascuno dotato di convertitore A/D con risoluzione 16 bit, ad alta stabilità ed altissima reiezione

Dettagli

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Condizionamento dei Segnali

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Condizionamento dei Segnali INGEGNEIA E TECNOLOGIE DEI SISTEMI DI CONTOLLO Condizionamento dei Segnali Prof. Carlo ossi DEIS Università di Bologna Tel: 051 2093020 email: crossi@deis.unibo.it Argomenti trattati Acquisizione Multiplexer

Dettagli

Regolatore digitale di flusso massiccio - Modello DFC

Regolatore digitale di flusso massiccio - Modello DFC Regolatore digitale di flusso massiccio - Modello DFC Il microprocessore del modello DFC permette di programmare e analizzare I dati di flusso di vari gas con il computer tramite RS-485 (in opzione è disponibile

Dettagli

Sistemi elettronici. per filtri depolveratori DUST FILTER COMPONENTS

Sistemi elettronici. per filtri depolveratori DUST FILTER COMPONENTS Sistemi elettronici per filtri depolveratori DUST FILTER COMPONENTS SISTEMI ELETTRONICI LA TIPOLOGIE SEQUENZIATORI ECONOMIZZATORI CERTIFICATI ATEX (zona 1,2, 21 E 22) CENTRALINE CON PILOTI PER CONTROLLO

Dettagli

Capitolo Acquisizione dati con PC

Capitolo Acquisizione dati con PC Capitolo 2 Acquisizione dati con PC 2.1 Generalità 2.2 Sistema di acquisizione dati analogici monocanale con PC, per segnali lentamente variabili 2.3 Sistema di acquisizione dati analogici multicanale

Dettagli

TERMINALE DI PESATURA VEGA BOX

TERMINALE DI PESATURA VEGA BOX TERMINALE DI PESATURA VEGA BOX 1. TIPO DEL DISPOSITIVO Il dispositivo è un trasmettitore / indicatore elettronico di pesatura, denominato VEGABOX. 2. DESCRIZIONE DELLE CARATTERISTICHE FUNZIONALI Vega Box

Dettagli

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Condizionamento dei Segnali

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Condizionamento dei Segnali INGEGNEIA E TECNOLOGIE DEI SISTEMI DI CONTOLLO Condizionamento dei Segnali Prof. Carlo ossi DEIS Università di Bologna Tel: 051 2093020 email: crossi@deis.unibo.it Argomenti trattati Acquisizione Multiplexer

Dettagli

Scopo del gruppo di lezioni

Scopo del gruppo di lezioni 0Sep02 Scopo del gruppo di lezioni Si vuole mostrare come uno stesso problema possa essere risolto in molti modi diversi tra loro. L ingegnere deve esaminare tutte le possibili soluzioni (che conosce)

Dettagli

Acquisizione Dati. Introduzione

Acquisizione Dati. Introduzione UNIVERSITÀ DEGLI STUDI DI CAGLIARI Dipartimento di Ingegneria Meccanica, Chimica e dei Materiali Corso di Sperimentazione sulle Macchine Acquisizione Dati Introduzione Introduzione In campo scientifico

Dettagli

Davide Gennaretti, Matteo Nicolini

Davide Gennaretti, Matteo Nicolini Seminario sui Microcontrollori Davide Gennaretti, Matteo Nicolini AA 2003-04 II Facoltà di Ingegneria Elettronica Cesena Cosa sono i microcontrollori? Piccoli computer concentrati in un chip Un Datapath

Dettagli

Metronomo. Progettare l hardware del metronomo, utilizzando come base dei tempi un modulo generatore di clock a 10 MHz. Fig. 1. Fig. 2.

Metronomo. Progettare l hardware del metronomo, utilizzando come base dei tempi un modulo generatore di clock a 10 MHz. Fig. 1. Fig. 2. Metronomo Un metronomo elettronico (Fig. 1) accetta in ingresso tre cifre decimali codificate in BCD per la programmazione del numero di da 16 a 299, e produce in, come, un treno di 8 impulsi spaziati

Dettagli

Argomenti del corso Parte I Caratteristiche generali e strumenti terminali

Argomenti del corso Parte I Caratteristiche generali e strumenti terminali Argomenti del corso Parte I Caratteristiche generali e strumenti terminali 1. Concetti generali Finalità e applicazioni dello studio dei sistemi di misura Applicazione in vari campi: esempi Concetto di

Dettagli

Discharge. Timer 555. Threshold. Trigger

Discharge. Timer 555. Threshold. Trigger Punto a Lo schema proposto consente la misura dell umidità relativa tramite il confronto delle durate degli impulsi prodotti da due monostabili. Un monostabile produce un impulso di durata fissa, pari

Dettagli

Circuiti Elettrici Capitolo 6 Circuiti con amplificatori operazionali

Circuiti Elettrici Capitolo 6 Circuiti con amplificatori operazionali Circuiti Elettrici Capitolo 6 Circuiti con amplificatori operazionali Prof. Cesare Svelto (traduzione e adattamento) Copyright McGraw-Hill Education. Permission required for reproduction or display. Alexander,

Dettagli

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP Ingegneria e Tecnologie dei Sistemi di Controllo Unità di Elaborazione: MicroControllori e DSP Ing. Andrea Tilli DEIS Alma Mater Studiorum Università di Bologna E-Mail: atilli@deis.unibo.it Revisionato:

Dettagli

REALIZZAZIONE DI UN FILTRO PASSA BASSO CON IL MICRO-CONTROLLORE PIC16F876 SERGIO DI DOMIZIO LABORATORIO DI ELETTRONICA

REALIZZAZIONE DI UN FILTRO PASSA BASSO CON IL MICRO-CONTROLLORE PIC16F876 SERGIO DI DOMIZIO LABORATORIO DI ELETTRONICA REALIZZAZIONE DI UN FILTRO PASSA BASSO CON IL MICRO-CONTROLLORE PIC16F876 SERGIO DI DOMIZIO LABORATORIO DI ELETTRONICA Introduzione I micro controllori PIC vengono utilizzati per diversi tipi di applicazioni,

Dettagli

STUDIO ED OTTIMIZZAZIONE DI UN SISTEMA DI CONTROLLO DA BANCO PROVA PER DIESEL COMMON RAIL

STUDIO ED OTTIMIZZAZIONE DI UN SISTEMA DI CONTROLLO DA BANCO PROVA PER DIESEL COMMON RAIL STUDIO ED OTTIMIZZAZIONE DI UN SISTEMA DI CONTROLLO DA BANCO PROVA PER DIESEL COMMON RAIL Tesi di Laurea di: Francesco Faberi RELATORE: Prof. Ing. Luca Piancastelli CORELATORI: Dott.Ing.Bonifacio Grisolia

Dettagli

1) Si descriva brevemente il processo di conversione analogico-digitale di un segnale.

1) Si descriva brevemente il processo di conversione analogico-digitale di un segnale. PRIMA PROVA (Traccia 1) Ogni risposta sarà valutata con un punteggio massimo di 6 punti. 1) Si descriva brevemente il processo di conversione analogico-digitale di un segnale. 2) Dovendo installare delle

Dettagli

CRONOTERMOSTATO PLIKC MODELLO NEVE - COD.PLK267610

CRONOTERMOSTATO PLIKC MODELLO NEVE - COD.PLK267610 CRONOTERMOSTATO PLIKC MODELLO NEVE - COD.PLK267610 Dati tecnici Alimentazione: 2x batterie stilo (AA) 1.5V Portata contatto: 3A Accuratezza: ±0.5ºC Range di regolazione: 5ºC - 60ºC Temperatura min. e max.

Dettagli

Elettronica delle Telecomunicazioni Esercizi cap 2: Circuiti con Ampl. Oper. 2.1 Analisi di amplificatore AC con Amplificatore Operazionale reale

Elettronica delle Telecomunicazioni Esercizi cap 2: Circuiti con Ampl. Oper. 2.1 Analisi di amplificatore AC con Amplificatore Operazionale reale 2.1 Analisi di amplificatore AC con Amplificatore Operazionale reale Un amplificatore è realizzato con un LM741, con Ad = 100 db, polo di Ad a 10 Hz. La controreazione determina un guadagno ideale pari

Dettagli

Soluzione del tema di: SISTEMI, AUTOMAZIONE E ORGANIZZAZIONE DELLA PRODUZIONE anno scolastico 2002 2003

Soluzione del tema di: SISTEMI, AUTOMAZIONE E ORGANIZZAZIONE DELLA PRODUZIONE anno scolastico 2002 2003 Soluzione del tema di: SISTEMI, AUTOMAZIONE E ORANIZZAZIONE DELLA PRODUZIONE anno scolastico 2002 2003 a cura di: V. Savi P. Nasuti. Tanzi Premessa Il sistema di regolazione proposto è evidentemente di

Dettagli

Lezione 6 L'hardware dedicato.

Lezione 6 L'hardware dedicato. Lezione 6 Come già anticipato nelle prime lezioni, il PIC16C84 non solo ha la memoria di programma in tecnologia EEPROM, ma possiede anche 64 registri da 8 bit di identica struttura. Questo vuol dire che,

Dettagli

TC120-45B-06. Centralina elettronica multifunzione MANUALE D USO

TC120-45B-06. Centralina elettronica multifunzione MANUALE D USO TC2045B06 Centralina elettronica multifunzione MANUALE D USO CENTRALINA ELETTRONICA TC 20 45 B06 La centralina viene fornita completa delle sonde che servono per l uso a cui sono destinate ed in funzione

Dettagli

Apparecchiatura per Controllo di Tenuta Mod. E50

Apparecchiatura per Controllo di Tenuta Mod. E50 Apparecchiatura per Controllo di Tenuta Mod. E50 Mod. E 50 Questo apparecchio consente di realizzare, in uno spazio estremamente contenuto, un sistema completo di misure analogiche di precisione, interamente

Dettagli

Misure con l oscilloscopio (e non) su circuiti con amplificatori operazionali

Misure con l oscilloscopio (e non) su circuiti con amplificatori operazionali Misure con l oscilloscopio (e non) su circuiti con amplificatori operazionali Edgardo Smerieri Laura Faè PLS - AIF - Corso Estivo di Fisica Genova 2009 Amplificatore operazionale perché? Moltiplicazione

Dettagli

UNITA BOLLITORE UB INOX Solar 200-2

UNITA BOLLITORE UB INOX Solar 200-2 UNITA BOLLITORE UB INOX Solar 200-2 1 Informazioni sul Prodotto UB 200 Solar è un sistema composto da bollitore inox 200 Litri doppio serpentino e gruppo di circolazione solare integrato. 2 3 Schema idraulico

Dettagli

La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI.

La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI. 1.1 - Rete di ritardo La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI. V ce I c 90% 90% V CI 10% 10% t on = t d(on) + t r t off = t d(off) + t r Fig. 1.1 Risposta di un Mosfet

Dettagli

INFORMATICA MODULO DI CALCOLATORI ELETTRONICI LAUREA IN INGEGNERIA INFORMATICA

INFORMATICA MODULO DI CALCOLATORI ELETTRONICI LAUREA IN INGEGNERIA INFORMATICA Esercizio 001-17-18 Un sistema basato sul microprocessore MIPS R2000 (clock pari a 250 MHz) è incaricato della trasmissione seriale asincrona di una serie di caratteri ASCII memorizzati in un banco dell

Dettagli

INDICE. 1 DESCRIZIONE pg VISTA DISPOSITIVO pg CARATTERISTICHE TECNICHE pg DESCRIZIONE CIRCUITALE pg. 5-6

INDICE. 1 DESCRIZIONE pg VISTA DISPOSITIVO pg CARATTERISTICHE TECNICHE pg DESCRIZIONE CIRCUITALE pg. 5-6 INDICE 1 DESCRIZIONE pg. 2 2 VISTA DISPOSITIVO pg. 3 3 CARATTERISTICHE TECNICHE pg. 4 4 DESCRIZIONE CIRCUITALE pg. 5-6 5 IDENTIFICAZIONE COMPONENTI pg. 7-8 6 ISTRUZIONI DI TARATURA pg. 9 7 ELENCO ALLEGATI

Dettagli

Lotto n 6. Scheda Tecnica SAD001. Fornitura di apparecchiature per il Laboratorio Solare Fotovoltaico

Lotto n 6. Scheda Tecnica SAD001. Fornitura di apparecchiature per il Laboratorio Solare Fotovoltaico Lotto n 6 SAD001 Fornitura di apparecchiature per il Laboratorio Solare Fotovoltaico A) stema di controllo e acquisizione dati multicanale stema di controllo e acquisizione dati multicanale stema di controllo

Dettagli

Amplificatore logaritmico

Amplificatore logaritmico Elettronica delle Telecomunicazioni Esercitazione 2 mplificatore logaritmico ev 1 981208 GV, S ev 2 990617 DDC Specifiche di progetto Progettare un amplificatore con funzione di trasferimento logaritmica

Dettagli

Il modello di Von Neumann

Il modello di Von Neumann Il modello di Von Neumann Appunti di STA per le classi seconde ind. informatiche Page 1 Il modello architetturale Per modello architetturale, si intende la descrizione delle parti del sistema e la loro

Dettagli

Smarty. Cronotermostati e Termostati elettronici touch-screen. Termoidraulica. Scheda tecnica 54 IT 01

Smarty. Cronotermostati e Termostati elettronici touch-screen. Termoidraulica. Scheda tecnica 54 IT 01 Smarty Cronotermostati e Termostati elettronici touch-screen Termoidraulica Scheda tecnica 54 IT 01 Indice Impieghi Cronotermostato settimanale 3 Termostato giornaliero 4 Termostato base 5 Dati tecnici

Dettagli

5. Esercitazioni di laboratorio

5. Esercitazioni di laboratorio 5. Esercitazioni di laboratorio 5.1 Controllo di temperatura con LM335 Viene proposto il progetto di un attuatore, il quale avvii un dispositivo di potenza quando la temperatura misurata scende al di sotto

Dettagli

Autore Prof.ssa Maria Rosa Malizia

Autore Prof.ssa Maria Rosa Malizia 1 2 Soluzione dell ESEMPIO PROVA DEL 29 MAGGIO 2016 Indirizzo: ITEC- ELETTRONICA ED ELETTROTECNICA ARTICOLAZIONE ELETTRONICA Tema di: ELETTRONICA ED ELETTROTECNICA Soluzione a cura di: Prof.ssa Maria Rosa

Dettagli

Regolatore di pressione elettropneumatico. Attacco G ½. Catalogo 8684/IT G ½"

Regolatore di pressione elettropneumatico. Attacco G ½. Catalogo 8684/IT G ½ Regolatore di pressione elettropneumatico Attacco G ½ Catalogo 8684/IT G ½" Catalogo 8684/IT La soluzione ECONOMICA che soddisfa le esigenze del mercato. Il design compatto e il peso ridotto garantiscono

Dettagli

Smarty. Cronotermostati e Termostati elettronici touch-screen retroilluminati. Termoidraulica. Scheda tecnica 54 IT 02

Smarty. Cronotermostati e Termostati elettronici touch-screen retroilluminati. Termoidraulica. Scheda tecnica 54 IT 02 Smarty Cronotermostati e Termostati elettronici touch-screen retroilluminati Termoidraulica Scheda tecnica 54 IT 0 Indice Impieghi Cronotermostato settimanale 3 Termostato giornaliero 4 Termostato base

Dettagli

Motori Motore passo-passo Stadio di potenza PWM Sincrono Stadio di potenza del motore passopasso. Blocchi funzionali. Set point e generatore PWM

Motori Motore passo-passo Stadio di potenza PWM Sincrono Stadio di potenza del motore passopasso. Blocchi funzionali. Set point e generatore PWM RC1 Blocchi funzionai Motori a corrente continua Generatori Circuiti per il controllo dei motori in CC Motori a corrente alternata Circuiti per il controllo dei motori in CA Motori passo-passo Circuiti

Dettagli

Contatto presenza o programma a tempo: Il contatto aperto indica la presenza in ambiente (locale occupato) ed attiva il set point del modo Comfort.

Contatto presenza o programma a tempo: Il contatto aperto indica la presenza in ambiente (locale occupato) ed attiva il set point del modo Comfort. Regolatore digitale P+I per fan coil a 2 o 4 tubi RTA05 Descrizione Il regolatore RTA05 è progettato per controllare impianti di riscaldamento e raffreddamento con fan coil. RTA05 controlla le valvole

Dettagli

CONTROLLORI DA INCASSO PER SISTEMI DI ASPIRAZIONE PROFESSIONALI E INDUSTRIALI

CONTROLLORI DA INCASSO PER SISTEMI DI ASPIRAZIONE PROFESSIONALI E INDUSTRIALI CONTROLLORI DA INCASSO PER SISTEMI DI ASPIRAZIONE PROFESSIONALI E INDUSTRIALI CONTROLLORI DA INCASSO PER SISTEMI DI ASPIRAZIONE PROFESSIONALI E INDUSTRIALI Destinati al settore dell aspirazione professionale

Dettagli

Amplificatore differenziale con operazionale: studio e simulazione

Amplificatore differenziale con operazionale: studio e simulazione Amplificatore differenziale con operazionale: studio e simulazione A cura del prof: Ing. Fusco Ferdinando Indice STUDIO TEORICO pag.3 PROVA SIMULATA pag.9 PROVA PRATICA IN LABORATORIO pag.14 RIFERIMENTI

Dettagli

COME UTILIZZARE IL SENSORE DI TEMPERATURA TMP36

COME UTILIZZARE IL SENSORE DI TEMPERATURA TMP36 COME UTILIZZARE IL SENSORE DI TEMPERATURA TMP36 Oggi la sensoristica è ricca di dispositivi capaci di svolgere la conversione di grandezze fisiche in elettriche. Nello specifico, per quanto riguarda la

Dettagli

Impianti di pressurizzazione Alimentazione idrica Industria Edilizia Lavaggi

Impianti di pressurizzazione Alimentazione idrica Industria Edilizia Lavaggi NOCCHI CPS Facile da installare, Basso consumo energetico, Dimensioni compatte CPS è un dispositivo elettronico in grado di variare la frequenza di un elettropompa. Integrato direttamente sul motore permette

Dettagli

Invertitori monofase a tensione impressa

Invertitori monofase a tensione impressa Invertitori monofase a tensione impressa Principi di funzionamento Invertitore di tensione monofase a PWM Generazione di due livelli di tensione: positivo e negativo Alimentazioni continue (+E e E) a bassa

Dettagli

ESERCIZIO Punto di riposo, R 1,R 2. Detta I C = I C1 = I C2 = 2.5mA e ipotizzando I B1 I C1,I B2 I C2, si ha

ESERCIZIO Punto di riposo, R 1,R 2. Detta I C = I C1 = I C2 = 2.5mA e ipotizzando I B1 I C1,I B2 I C2, si ha 1/16 ESERCIZIO 1 1.1 - Punto di riposo, R 1,R 2 Detta I C = I C1 = I C2 = 2.5mA e ipotizzando I B1 I C1,I B2 I C2, si ha V CE1 = V R E I E1 I E2 ) V 2R E I C = 12.0 V. 1) Nel punto di riposo si ha I B1

Dettagli

MST_K12_FAN. Regolatore di velocita per ventole PC. Manuale d uso e d installazione

MST_K12_FAN. Regolatore di velocita per ventole PC. Manuale d uso e d installazione MST_K12_FAN Regolatore di velocita per ventole PC Manuale d uso e d installazione Page 1 of 7 Indice Argomenti 1.0 Revisioni. pag. 3 2.0 Introduzione.... pag. 3 2.1 Caratteristiche generali... pag. 3 3.0

Dettagli

Filtri lineari non ricorsivi (FIR)

Filtri lineari non ricorsivi (FIR) Filtri lineari non ricorsivi (FIR) I filtri FIR (Finite Impulse Response) sono circuiti ad anello aperto, cioè senza reazione tra ingresso ed uscita. Le sue caratteristiche principali sono: uscita è sempre

Dettagli

Controllori programmabili serie AC31 Dati applicativi serie 90

Controllori programmabili serie AC31 Dati applicativi serie 90 Dati tecnici aggiuntivi 07 KT 98 In generale vengono considerati validi i dati tecnici del sistema riportati a pag 1/77-78. I dati aggiuntivi o diversi dai dati del sistema sono riportati qui di seguito.

Dettagli

MANUALE - UTENTE Scheda controllo pompe/motori - SVP Codice manuale : P I Revisione : 1

MANUALE - UTENTE Scheda controllo pompe/motori - SVP Codice manuale : P I Revisione : 1 1. Tabella di codifica prodotto. SVP Scheda Amplificatore proporzionale per controllo pompe / motori X X = per magneti proporzionali 0.88 A (24 V DC) (STANDARD) Y = per magneti proporzionali 1.76 A (12

Dettagli

Esame di Stato. a.s

Esame di Stato. a.s Esame di Stato Classe 5ª Elettronici Materia Elettronica rgomento Esami di Stato a.s. 4-5 Una scuola vuole monitorare la potenza elettrica continua di un pannello fotovoltaico per la generazione d energia

Dettagli

Nicola Amoroso. Corso introduttivo sui microcontrollori A. S La programmazione dei PIC TIMERS.

Nicola Amoroso. Corso introduttivo sui microcontrollori A. S La programmazione dei PIC TIMERS. Corso introduttivo sui microcontrollori A. S. 2007 2008 TIMERS Nicola Amoroso namoroso@mrscuole.net NA L7 1 Timers I timer sono precisi contatori, che possono essere configurati per incrementarsi su fronti

Dettagli

Componenti principali. Programma cablato. Architettura di Von Neumann. Programma cablato. Cos e un programma? Componenti e connessioni

Componenti principali. Programma cablato. Architettura di Von Neumann. Programma cablato. Cos e un programma? Componenti e connessioni Componenti principali Componenti e connessioni Capitolo 3 CPU (Unita Centrale di Elaborazione) Memoria Sistemi di I/O Connessioni tra loro 1 2 Architettura di Von Neumann Dati e instruzioni in memoria

Dettagli

GESTIONE DEI TEMPI CON IL TIMER1 DEL PIC16F876

GESTIONE DEI TEMPI CON IL TIMER1 DEL PIC16F876 GESTIONE DEI TEMPI CON IL TIMER1 DEL PIC16F876 6.0 TIMER1 MODULE The Timer1 module is a 16-bit timer/counter consisting of two 8-bit registers (TMR1H and TMR1L), which are readable and writable. The TMR1

Dettagli