FLUSSO DI PROGETTO PER SOPC

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "FLUSSO DI PROGETTO PER SOPC"

Transcript

1 UNIVERSITA DEGLI STUDI DI NAPOLI FEDERICO II Facoltà di Ingegneria FLUSSO DI PROGETTO PER SOPC CORSO DI CALCOLATORI ELETTRONICI II Prof. A. Mazzeo Ing. Carmelo Lofiego 30 Aprile 2010 Corso di Laurea Specialistica in Ingegneria Informatica

2 Flusso di progetto per un sistema digitale Specifiche del sistema Funzionali (comportamento ingresso/uscita, interfaccia con l esterno, ) Non- funzionali (throughput, area, timing, potenza dissipata, ) Descrizione HDL Dalle specifiche all architettura del sistema Simulazione funzionale Sintesi Occorre verificare che il comportamento funzionale del sistema sia congruente con le specifiche La descrizione di alto livello (RTL, strutturale, comportamentale) viene trasformata in una netlist di porte logiche mediante strumenti CAD Simulazione post-sintesi occorre verificare che il comportamento ingresso/uscita del sistema sintetizzato rispetti le specifiche funzionali

3 Flusso di progetto per un sistema digitale Place&Route Ottenuta la netlist bisogna mapparne e disporne gli elementi sul silicio I parametri non funzionali del circuito ottenuto dipendono da quanto il processo di place&route è stato effettuato efficacemente Simulazione post Place&Route Poiché viene utilizzato uno strumento automatico, occorre verificare la correttezza funzionale della trasformazione Stima dei parametri E possibile estrarre i parametri non funzionali Se i vincoli non funzionali non vengono soddisfatti bisogna effettuare un redisign

4 Field-Programmable Gate Array (FPGA) Gate Array: Struttura regolare di celle logiche, connesse attraverso una rete di interconnessione Field Programmable: Programmabili on field dopo la loro produzione Elementi base (programmabili): Blocchi logici (CLB) Interconnessioni Blocchi di I/O (IOB) La configurazione viene memorizzata in una SRAM

5 Blocchi logici programmabili (CLB) LUT (Look Up table): Permette di implementare logica combinatoria; una LUT a N ingressi permette di implementare funzioni booleane a N-ingressi Può essere configurata come un multiplexer binario o come una memoria programmabile a 2 N bit A B C L U T Clock D Q Select Out A simple look up table logic block Registri Altre risorse: Logica per la propagazione del riporto, multiplexer programmabili, A more realistic logic block

6 Rete d interconnessione L E L E L E Switc h Matri x Switc h Matri x L E L E L E

7 Tecnologia target Non solo CLB (blocchi di memoria, moltiplicatori, IOB)

8 Flusso di sviluppo per FPGA Il progetto hardware viene realizzato modellando il sistema utilizzando un linguaggio di descrizione dell hardware (HDL) Mediante un tool di sintesi viene generata una netlist di componenti di libreria Questa viene mappata sulla particolare tecnologia I componenti inferenziati vengono posizionati sul chip La rete di interconnessione viene configurata in modo da realizzare le connessioni necessarie In genere ci si riferisce alle fasi di map-placeroute come implementazione

9 Programmazione dell FPGA L'output della sintesi è un file di configurazione detto bitstream Il bitstream può essere scaricato sull'fpga determinandone la configurazione di tutti i componenti programmabili La configurazione è volatile

10 Xilinx ISE

11 Xilinx PlanAhead

12 System on Programmable Chip (SoPC)

13 System-on-Chip (SoC) I System-on-a-Chip (SoC) sono particolari circuiti integrati che in un solo chip contengono più parti di un sistema Microprocessore. Memori, Bus, Periferiche, Logica custom, Un IP (Intellectual-Property) core è un componente hardware con funzionalità ben definite Permettono di riutilizzare lavoro già fatto (anche di terze parti) Il flusso di progetto di un SoPC si basa sun una libreria di core IP Vengono realizzati su ASIC e su FPGA

14 Flusso di progetto SoC Oltre ai componenti hw, un SoC consiste anche di software che controlla I vari componenti e li fa interagire Il flusso di design si complica Il progetto di un SoC richiede l'emulazione dello stesso FPGA costituiscono un supporto molto importante alla progettazione Dopo la fase di emulazione il chip SoC segue le fasi classiche di sviluppo di un chip custom

15 Partizionamento Hardware/Software La fase di partizionamento consiste nel decidere quali componenti/funzionalità realizzare in hardware piuttosto che in software Decisione presa in base a qualche metrica o tecniche di profiling (hot-spot) Implementazione hw Prestazioni migliori Elevato costo Implementazione sw Maggiore flessibilità e minor costo Prestazioni peggiori Problema di ottimo complesso. Bisogna tener conto di diversi fattori in conflitto Costo, prestazioni, area,

16 System-on-Programmable-Chip (SoPC) Con SoPC ci si riferisce a all'implementazione di un SoC su un device programmabile (FPGA) Vantaggi Place&Route e programmazione vengono effettuati dall utente Nessun ritardo per la realizzazione del prototipo Nessun ritardo per la produzione su grandi volumi Modifiche veloci ed economiche

17 Hard/Soft IP Un IP può essere implementato su FPGA in maniera Hard o Soft Hard: occupa risorse dedicate sul device Soft: viene implementato utilizzando risorse programmabili dell'fpga Tipicamente i Soft IP sono molto più flessibili e ricchi di caratteristiche, mentre gli Hard IP offrono performance più elevate e un consumo di potenza inferiore Hard IP Non configurabile garantisce timing, area, consumo di potenza Soft IP Vengono rilasciati i sorgenti (HDL sintetizzabile) o un formato criptato che ne permette la configurazione Tipicamente sono altamente configurabili Timing, area e consumo di potenza dipendono dall implementazione, strumenti CAD utilizzati e tecnologia target

18 Processori per SoPC Soft Processor per FPGA NIOS II -- Altera Microblaze, Picoblaze -- Xilinx LEON -- Gaisler Hard Processor per FPGA MIPS Altera, anche ARM ma non nelle nuove FPGA PowerPC Xilinx, ma non nelle nuove FPGA FPGA capienti possono contenere diversi soft-processor

19 Software per il flusso di progetto SoPC Sintesi Leonardo, Symplify, Altera Quartus, Xilinx ISE, Implementazione Xilinx ISE, Altera Quartus, Tool per la configurazione del SoPC Xilinx EDK, Altera SoPC Builder, Compilatore C/C++ per architettura target Tool di supporto al debug

20 Flusso di sviluppo per SoPC

21 Ambienti commerciali SOPC BUILDER - ALTERA EDK - XILINX

22 LEON3

23 GRLIB/LEON3 GRLIB è una libreria di soft IP della Gaisler/Aeroflex Architettura di riferimento basata su bus comune I core IP istanziati vengono connessi tramite un bus on-chip (AMBA) Supporta diversi tool CAD e tecnologie target Qualche IP: LEON3 SPARC V8 32-bit processor DSU3 Multi-processor Debug support unit GRFPU High-performance Floatingpoint unit GRFPU-Lite Low-area Floating-point unit SRCTRL - PROM/SRAM controller SDCTRL - SDRAM controller DDRCTRL - DDR controller with two AHB ports (Xilinx only) AHBBRIDGE - Bi-directional AHB/AHB Bridge APBUART - Programmable UART with APB interface GPIO - General purpose I/O port

24 LEON3 Soft-core altamente configurabile Modello VHDL sintetizzabile su diversi device FPGA La prima versione (P-1754) è stata realizzata da Jiri Gaisler per l European Space Agency (ESA) per essere utilizzato in sistemi di controllo satellitare Viene rilasciato sotto licenza GNU LGPL Attualmente mantenuto dalla Aeroflex

25 LEON3 - Caratteristiche Architettura RISC Unità intera compatibile SPARC V8, pipelined a 7 stadi Numero di registri configurabile (2-32) Cache separate per istruzioni e dati, moltiplicatore e divisore hardware, controller d'interruzioni Debug Support Unit (DSU) con trace buffer, 2 timer a 24-bit, 2 UART Porto di I/O a 16-bit, controller di memoria molto flessibile, Ethernet MAC, PCI interface Permette una facile integrazione di nuovi componenti Diagram of the LEON core from Gaisler Research

26 Debug Support Unit (DSU) Permette un debugging non intrusivo sull'hw target In particolare permette di: Inserire breakpoint e watchpoint accedere a tutti i registri on-chip Caricare un programma in memoria e controllarne l'esecuzione Tracciare il flusso delle istruzioni eseguite e monitorare il traffico sul bus tramite dei trace buffer Può essere utilizzato come interfaccia per un debugger remoto (i.e. gdb)

27 Bus AMBA Advanced Microcontroller Bus Architecture (AMBA) è una specifica di bus per dispositivi SoC AMBA BUS fornisce specifiche solo a livello di ciclo di clock La specifica è indipendente dalla tecnologia che successivamente sarà usata per realizzare il chip su cui il bus viene usato Caratteristiche elettriche: non sono fornite specifiche dato che saranno totalmente dipendenti dalla tecnologia usata Specifiche temporali: non sono fornite informazioni e/o misure temporali di tipo assoluto dato che esse dipendono fortemente dalla tecnologia realizzativa La specifica definisce diversi bus. In particolare abbiamo: AHB, APB Advanced High-performance Bus (AHB) Multimaster, operazioni pipelined, trasferimenti burst, split transaction Advanced Peripheral Bus (APB) Consumi ridotti Semplice Interfaccia verso le periferiche

28 LEON3 Bus Architecture LEON utilizza un'architettura a bus su due livelli: Il bus AHB viene utilizzato per la CPU, per gli altri master, per la memoria on chip e le periferiche che richiedono elevata disponibilità di banda (ad es. l interfaccia verso la memoria off-chip, periferiche che possono essere oggetto di trasferimenti DMA) Il bus APB per le periferiche di I/O più semplici e con minori requisiti di banda e performance Un bridge AHB/APB bufferizza dati e operazioni tra i due bus; il bridge AHB/APB è slave sul bus AHB e l'unico master sul bus APB.

29 Configurazione, Sintesi e programmazione di un SoPC su board di sviluppo

30 Cosa ci proponiamo di realizzare? Vogliamo realizzare un SoPC basato su core IP della libreria GRLIB e farne il download sulla board Nexys2* della Digilent [*]

31 Digilent Nexys2 Cos è? E una piattaforma di sviluppo per sistemi digitali il cui nucleo è un FPGA Xilinx della famiglia Spartan3E Le dimensioni della logica sono tali da poter ospitare un SoPC basato su libreria Xilinx (EDK) e GRLIB. Qualche caratteristica: Xilinx Spartan-3E FPGA da 500K o 1200K gate Permette alimentazione e programmazione tramite porta USB Compatibile con ISE/Webpack e EDK della Xilinx 16MB Micron PSDRAM 16MB Intel StrataFlash Flash Xilinx Platform Flash ROM Oscillatore da 50MHz; permette l alloggiamento di un oscillatore esterno 75 pin di I/O distribuiti su: Un bus di espansione high-speed da 43 pin 4 connettori pmod 2x6 8 LED, 4 display a 7-segmenti, 4 push-button, 8 switch

32 Strumenti necessari Cygwin Oltre al sistema base installare i pacchetti make, tcltk, Strumenti per la simulazione e sintesi di sistemi digitali Active HDL, Modelsim Xilinx ISE Webpack LEON3/GRLIB Libreria di componenti GRLIB Simulatore per LEON (TSIM) Debug monitor (grmon) Tool chain per la crosscompilazione "GRTools installer for windows" Utility per la programmazione dell FPGA Digilent Adept /Detail.cfm?NavTop=2&NavSub =69&Prod=ADEPT

33 Qualche dettaglio sull ambiente In particolare: In boards troviamo una directory per ciascuna board supportata Es. digilent-xup-xc2vp In designs vari esempi di configurazioni di SoPC per le varie board supportate Es. leon3-digilent-xup La Nexys2 non è supportata dalla versione ufficiale di GRLIB

34 Design di esempio per la Nexys2 Sorgenti disponibili sull ftp del corso

35 Configurazione del SoPC

36 Top level entity e User Constraint File (UCF)

37 Sintesi

38 Occupazione delle risorse sulla logica grlib/designs/leon3-digilent-nexys2/leon3mp.mrp

39 Verifica del vincolo sul cammino critico A valle della fase di sintesi occorre verificare che il cammino critico sia compatibile con il clock di sistema Nel caso specifico abbiamo: un clock di board di 50 Mhz un DCM configurato in modo da moltiplicare la frequenza del clock di board per 4/5 Otteniamo così un clock di sistema di 40 Mhz grlib/designs/leon3-digilent-nexys2/leon3mp.twr

40 Compilazione Hello World! #include <stdio.h> main() { printf("hello\n"); } grlib/software/examples/hello.c

41 Compilazione applicazioni d esempio Con GRLIB vengono rilasciati anche altre applicazioni d esempio/benchmark Possono essere compilati utilizzando make

42 Download del bitstream Per il download del bitstream sull FPGA occorre un utility software Possiamo scegliere tra impact della Xilinx Richiede cavo JTAG Adept della Digilent Permette la programmazione della logica tramite USB

43 Download del bitstream

44 Interfacciarsi al sistema tramite GRMON/DSU

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LA Cesena, 4 Febbraio 2005 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA)

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Stefano Mattoccia, DISI - University of Bologna 1 Architettura di una FPGA Anche se in questo corso si adotterà una metodologia

Dettagli

Reti logiche A All. Informatici (M-Z)

Reti logiche A All. Informatici (M-Z) Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Giancarlo Rini (rini) FPGA. 29 September 2011

Giancarlo Rini (rini) FPGA. 29 September 2011 Giancarlo Rini (rini) FPGA 29 September 2011 Con questa articolo si vuole dare una breve descrizione dell'architettura interna di una FPGA. Cercare di capire quali sono i pregi e i difetti di questo strumento

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

Introduzione a HW/SW codesign

Introduzione a HW/SW codesign Introduzione a HW/SW codesign 1 Origini: sintesi dell HW La sintesi logica risulta essere relativamente assestata a partire dagli anni 90 Flusso di progetto: algoritmo => FSM => RTL=> equazioni booleane

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Layout Cyclone II Development Board

Layout Cyclone II Development Board Layout Cyclone II Development Board 1 Block Diagram Cyclone II Development Board 2 Hardware Features 3 Datasheet Altera web site 4 Implementazione di progetti su Development Board CycloneII 1. Scelta del

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

INdICe. Prefazione XIII. 3 Capitolo 1 Classificazioni e concetti base

INdICe. Prefazione XIII. 3 Capitolo 1 Classificazioni e concetti base INdICe XIII Prefazione 3 Capitolo 1 Classificazioni e concetti base 3 1.1 Sistemi - Un approccio gerarchico 4 1.2 Sistemi basati su componenti programmabili 1.2.1 Concetto di Sistema di Elaborazione, p.

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Circuiti integrati semi-custom

Circuiti integrati semi-custom Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Introduzione Qualsiasi sistema digitale

Dettagli

Run-Time Reconfigurable FPGA

Run-Time Reconfigurable FPGA Run-Time Reconfigurable FPGA Emilio Fazzoletto KTH Royal Institute of Technology emilio.fazzoletto@gmail.com April 18, 2016 Emilio Fazzoletto (KTH) RTR FPGA April 18, 2016 1 / 22 1 Introduzione 2 Campi

Dettagli

Embedded and Reconfigurable Systems M 03 Bus protocols

Embedded and Reconfigurable Systems M 03 Bus protocols Embedded and Reconfigurable Systems M 03 Bus protocols Stefano Mattoccia, DISI - University of Bologna 1 Protocolli di comunicazione standard - Protocolli per connessioni con periferiche e memorie - 3

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Corso di programmazione Arduino DI MALVEZZI DAVIDE

Corso di programmazione Arduino DI MALVEZZI DAVIDE Corso di programmazione Arduino DI MALVEZZI DAVIDE Argomenti Pin digitali e pin analogici Gestione di timer e bottoni Utilizzo della porta seriale Oggetto String Controllo di schermi LCD Utilizzo dell

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP

Introduzione. Caratteristiche generali. Sistemi e Tecnologie per l'automazione LS. HW per elaborazione digitale in automazione: Microcontrollori e DSP Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS HW per elaborazione digitale in automazione:

Dettagli

Computer Aided Design. Matteo Montani

Computer Aided Design. Matteo Montani Computer Aided Design Matteo Montani Sommario Gestione di progetti logici complessi Metodologie di progetto (sintesi - verifica) Strategie di implementazione di circuiti digitali - Full custom (layout)

Dettagli

Si definisca un nome da assegnare al sistema composto dal processore e le sue periferiche ed il linguaggio con cui verrà descritto.

Si definisca un nome da assegnare al sistema composto dal processore e le sue periferiche ed il linguaggio con cui verrà descritto. Tutorial 4 Realizzazione di processore NIOS-2 e di alcune interfacce di I/O Descrizione: L FPGA montata sulla DE1 ha risorse sufficienti per poter realizzare al suo interno un microprocessore. In questo

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Alimentatore master. Introduzione

Alimentatore master. Introduzione Alimentatore master Introduzione L alimentatore master è un controllore programmabile che permette all utente di sviluppare sequenze per i convertitori REEL dei tunnel, gestire I/O digitali e analogici

Dettagli

Esercitazione di laboratorio n. 2

Esercitazione di laboratorio n. 2 Esercitazione di laboratorio n. 2 Argomento dell esercitazione Progetto di circuiti combinatori. L esercitazione è composta di tre esercizi: progetto di un Full Adder da 1 bit (esercizio 1), e suo riutilizzo

Dettagli

Tecniche Analogiche e tecniche Digitali

Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Da rappresentazione Analogica a Digitale Trattamento dell'informazione Esercizi riepilogativi 2 2005 Politecnico di Torino

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

Prefazione...IX. Capitolo 1 - La famiglia di Arduino...1

Prefazione...IX. Capitolo 1 - La famiglia di Arduino...1 Sommario Prefazione...IX Capitolo 1 - La famiglia di Arduino...1 Una breve storia 1 Tipi di dispositivi Arduino 2 Gallerie di Arduino 4 I dispositivi compatibili con Arduino 7 I dispositivi compatibili

Dettagli

PYNQ: Python for Zynq. La PYNQ-Z1 board è basata su un Zynq System-on-Chip (SOC)

PYNQ: Python for Zynq. La PYNQ-Z1 board è basata su un Zynq System-on-Chip (SOC) PYNQ & Overlays Marco D. Santambrogio marco.santambrogio@polimi.it Emanuele Del Sozzo emanuele.delsozzo@polimi.it Lorenzo Di Tucci lorenzo.ditucci@mail.polimi.it Giuseppe Natale giuseppe.natale@polimi.it

Dettagli

Laboratorio di Informatica I

Laboratorio di Informatica I Struttura della lezione Lezione 2: Introduzione al corso Vittorio Scarano Laboratorio di Informatica I Corso di Laurea in Informatica Classificazione degli elaboratori Alcuni concetti base: la struttura

Dettagli

21/09/2015 SISTEMI E RETI. Router Cisco. Parte 1 - Introduzione. A cura dell Ing. Claudio Traini

21/09/2015 SISTEMI E RETI. Router Cisco. Parte 1 - Introduzione. A cura dell Ing. Claudio Traini SISTEMI E RETI Router Cisco Parte 1 - Introduzione A cura dell Ing. Claudio Traini 1 router sottoreti terminali Funzioni svolte dai Router I Router consentono l interconnessione tra sottoreti diverse I

Dettagli

Sistemi Embedded per il Mobile Multimedia. Tecnologie FPGA. Catania, 11/06/2007

Sistemi Embedded per il Mobile Multimedia. Tecnologie FPGA. Catania, 11/06/2007 Sistemi Embedded per il Mobile Multimedia Tecnologie FPGA Fabrizio Fazzino Catania, 11/06/2007 Tecnologie FPGA FPGA = Field Programmable Gate Array si potrebbe tradurre matrice di porte logiche programmabile

Dettagli

Tecnologie dei Sistemi di Automazione

Tecnologie dei Sistemi di Automazione Facoltà di Ingegneria Tecnologie dei Sistemi di Automazione Prof. Gianmaria De Tommasi Lezione 2 Architetture dei dispositivi di controllo e Dispositivi di controllo specializzati Corso di Laurea Codice

Dettagli

REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI. E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1

REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI. E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1 REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1 CARATTERISTICHE DEGLI ALGORITMI E DEI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI

Dettagli

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Lezione E2. Sistemi embedded e real-time

Lezione E2. Sistemi embedded e real-time Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Tecnologie per sistemi embedded. Marco Cesati. Schema della lezione. Application Specific Integrated Circuit. Programmable Logic Device

Tecnologie per sistemi embedded. Marco Cesati. Schema della lezione. Application Specific Integrated Circuit. Programmable Logic Device Di cosa parliamo in questa lezione? Lezione E2 In questa lezione parliamo di alcuni aspetti legati al processo di realizzazione di un sistema embedded Sistemi embedded e real-time 1 2 Varie tecnologie

Dettagli

Calcolatori Elettronici T Ingegneria Informatica A1 Introduzione Arduino

Calcolatori Elettronici T Ingegneria Informatica A1 Introduzione Arduino Calcolatori Elettronici T Ingegneria Informatica A1 Introduzione Arduino Giovanni Bonaccio, Stefano Mattoccia Arduino Arduino è una piattaforma hardware e software di tipo open-source nata in Italia ma

Dettagli

Memorie a semiconduttore

Memorie a semiconduttore Memoria centrale a semiconduttore (Cap. 5 Stallings) Architettura degli elaboratori -1 Pagina 209 Memorie a semiconduttore RAM Accesso casuale Read/Write Volatile Memorizzazione temporanea Statica o dinamica

Dettagli

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

Laboratorio di Sistemi Digitali M A.A. 2010/11

Laboratorio di Sistemi Digitali M A.A. 2010/11 begin if (RESET_N = '0') then for col in 0 to BOARD_COLUMNS-1 loop for row in 0 to BOARD_ROWS-1 loop... elsif (rising_edge(clock)) then... Laboratorio di Sistemi Digitali M 2 Introduzione Altera Quartus

Dettagli

SisElnF4 10/01/ /01/ SisElnF DDC/GP/MGG. 10/01/ SisElnF DDC/GP/MGG. Kilby 1958 Texas Instrument (1 Flip-Flop)

SisElnF4 10/01/ /01/ SisElnF DDC/GP/MGG. 10/01/ SisElnF DDC/GP/MGG. Kilby 1958 Texas Instrument (1 Flip-Flop) Ingegneria dell Informazione Obiettivi del gruppo di lezioni F Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4 Tecnologie e flussi di progetto» Evoluzione delle tecnologie»

Dettagli

Sistemi di Elaborazione

Sistemi di Elaborazione Sistemi di Elaborazione Ingegneria delle Telecomunicazioni nicola.tonellotto@isti.cnr.it Libro di testo inclusa Appendice B The Basics of Logic Design disponibile online Programma delle lezioni e lucidi

Dettagli

Nicola Amoroso. Corso introduttivo sui microcontrollori A. S Microprocessori - Microcontrollori.

Nicola Amoroso. Corso introduttivo sui microcontrollori A. S Microprocessori - Microcontrollori. Corso introduttivo sui microcontrollori A. S. 2007 2008 Microprocessori - Microcontrollori Nicola Amoroso namoroso@mrscuole.net NA L1 1 Cosa e un microcontrollore? > Un piccolo computer, contenente al

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

DIAGRAMMI TEMPORALI relativi all'esecuzione di una istruzione e agli accessi alla memoria:

DIAGRAMMI TEMPORALI relativi all'esecuzione di una istruzione e agli accessi alla memoria: DIAGRAMMI TEMPORALI relativi all'esecuzione di una istruzione e agli accessi alla memoria: 1 Memoria centrale: è costituita da una sequenza ordinata di registri; ciascun registro è individuato da un indirizzo;

Dettagli

Disegno e implementazione di progetti in FPGA Zynq di Xilinx: un esempio pratico

Disegno e implementazione di progetti in FPGA Zynq di Xilinx: un esempio pratico Disegno e implementazione di progetti in FPGA Zynq di Xilinx: un esempio pratico Riccardo Travaglini Ignazio D Antone, Stefano Meneghini, Luigi Rignanese, Mirco Zuffa - sezione di - Workshop su Applicazioni

Dettagli

How smart are you? DATA CENTER CLIENTE TOSIBOX EK-IB1

How smart are you? DATA CENTER CLIENTE TOSIBOX EK-IB1 SMART-U SMART-U017IT How smart are you? SMART-U (EK-IB1 - EK-IC1) è il nuovo prodotto Ekinex nato per offrire una soluzione di Controllo Remoto e Monitoraggio che integri in un solo dispositivo le caratteristiche

Dettagli

Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO)

Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) 1^ parte Cosa è Arduino? Arduino è una piattaforma di sviluppo open-source basata su una semplice scheda

Dettagli

Caratteristiche di un PC

Caratteristiche di un PC Caratteristiche di un PC 1 Principali porte presenti sui personal computer PC Una porta è il tramite con la quale i dispositivi (periferiche o Device ) vengono collegati e interagiscono con il personal

Dettagli

Architettura dei calcolatori e Software di sistema

Architettura dei calcolatori e Software di sistema Università degli Studi di Palermo Dipartimento di Ingegneria Chimica, Gestionale, Informatica, Meccanica Lezioni di Informatica di Base per la Facoltà di Lettere e Filosofia Anno Accademico 2012/20103

Dettagli

ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300

ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300 ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300 A.Bonomo, F.Campi Descrizione funzionale delle MAX3000 L architettura MAX3000A include i seguenti elementi: LAB (Blocchi di Array logici); Macrocelle; Expander

Dettagli

Logiche programmabili

Logiche programmabili Appendice C Logiche programmabili C.1 Sommario Dopo aver elencato le diverse tipologie di logiche programmabili evidenziandone i principali pregi e difetti si passa ad una descrizione particolareggiata

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Architettura degli Elaboratori Università degli Studi di Padova Facoltà di Scienze MM.FF.NN. Corso di Laurea in Informatica docente: Alessandro Sperduti Informazioni Generali Lucidi ed esercizi disponibili

Dettagli

Costruire memorie ancora più grandi

Costruire memorie ancora più grandi Costruire memorie ancora più grandi Assemblando blocchi di memoria potremmo ottenere memorie ancora più grandi, e così via. Vogliamo ottenere memorie di GBytes! Questo «scala»? Problemi: Numero enorme

Dettagli

Summer of LabVIEW The Sunny Side of System Design

Summer of LabVIEW The Sunny Side of System Design Summer of LabVIEW The Sunny Side of System Design 30th June - 18th July 1 Monitoraggio real-time di pulsazioni di pressione in camera di combustione di turbine a gas Giacomo Bartolini SINT Technology

Dettagli

HARDWARE. Hardware. Vista di insieme

HARDWARE. Hardware. Vista di insieme HARDWARE Vista di insieme 1 Hardware Hardware LA PARTE FISICA DEL SISTEMA Insieme di tutti i circuiti, dei componenti elettronici, elettrici e meccanici di un sistema di elaborazione 2 1 3 4 2 6 3 7 8

Dettagli

Livello logico digitale bus e memorie

Livello logico digitale bus e memorie Livello logico digitale bus e memorie Principali tipi di memoria Memoria RAM Memorie ROM RAM (Random Access Memory) SRAM (Static RAM) Basata su FF (4 o 6 transistor MOS) Veloce, costosa, bassa densità

Dettagli

4 Sistemi a microprocessore Fondamenti di Informatica P2 Ingegneria Meccatronica

4 Sistemi a microprocessore Fondamenti di Informatica P2 Ingegneria Meccatronica 4 Sistemi a microprocessore Fondamenti di Informatica P2 Ingegneria Meccatronica Stefano Mattoccia Dipartimento di Informatica Università di Bologna 1 Elaborazione delle informazioni Un essere vivente

Dettagli

VERIFICA DI SISTEMI. 5 Domanda [1 punto] Calcolare la velocità di trasferimento dei seguenti hard disk:

VERIFICA DI SISTEMI. 5 Domanda [1 punto] Calcolare la velocità di trasferimento dei seguenti hard disk: VERIFICA DI SISTEMI 1 Domanda [2 punti] Illustra la funzione della CPU e degli eventuali elementi che la costituiscono, specificando quali sono i parametri che ne caratterizzano il funzionamento. Spiega

Dettagli

Politecnico di Milano

Politecnico di Milano Politecnico di Milano Riconfigurazione dinamica parziale di FPGA per la correzione di guasti SEU: analisi dello delle Tesi di Laurea di: Stefano Bolli Francesco Bordoni Matr.670361 stefano.bolli@dresd.org

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

Architettura hardware

Architettura hardware Architettura hardware la parte che si può prendere a calci Architettura dell elaboratore Sistema composto da un numero elevato di componenti, in cui ogni componente svolge una sua funzione elaborazione

Dettagli

Architetture dei sistemi elettronici 14. Il microcontrollore

Architetture dei sistemi elettronici 14. Il microcontrollore Architetture dei sistemi elettronici 14. Il microcontrollore Roberto Roncella Schema a blocchi 2 Il core CPU 3 4 Architettura von Neumann Istruzioni Unità Aritmetica Unità di di Controllo Stato Dati B

Dettagli

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP

Ingegneria e Tecnologie dei Sistemi di Controllo. Unità di Elaborazione: MicroControllori e DSP Ingegneria e Tecnologie dei Sistemi di Controllo Unità di Elaborazione: MicroControllori e DSP Ing. Andrea Tilli DEIS Alma Mater Studiorum Università di Bologna E-Mail: atilli@deis.unibo.it Revisionato:

Dettagli

Sistemi e Tecnologie per l'automazione LS

Sistemi e Tecnologie per l'automazione LS Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS Programmable Logic Controllers Ing. Andrea

Dettagli

Dispositivi per il controllo

Dispositivi per il controllo Dispositivi per il controllo ordini di comando PARTE DI COMANDO PARTE DI POTENZA Controllori monolitici Controllori con architettura a bus Controllori basati su PC informazioni di ritorno PLC (Programmable

Dettagli

Programma svolto di INFORMATICA. Classe 3M - indirizzo MERCURIO A.S. 2010/2011

Programma svolto di INFORMATICA. Classe 3M - indirizzo MERCURIO A.S. 2010/2011 ISTITUTO TECNICO COMMERCIALE N. DELL ANDRO - Santeramo in Colle (BA) Programma svolto di INFORMATICA Classe 3M - indirizzo MERCURIO A.S. 2010/2011 Proff. Rosalia Barbara Schiavarelli, Antonio Antonicelli

Dettagli

Architettura di un calcolatore

Architettura di un calcolatore Architettura di un calcolatore Processore: CPU Componente elettronico costituito da minuscole componenti di silicio, chiamate CHIP. Esegue le istruzioni implementate nel SW, tramite una serie di operazioni

Dettagli

Corso di Architettura (Prof. Scarano) 09/04/2002

Corso di Architettura (Prof. Scarano) 09/04/2002 Corso di Architettura (Prof. Scarano) 09/0/2002 Un quadro della situazione Lezione 15 Il Set di Istruzioni (1) Vittorio Scarano Architettura Corso di Laurea in Informatica Università degli Studi di Salerno

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Struttura dei Sistemi Operativi

Struttura dei Sistemi Operativi STRUTTURA DEI SISTEMI OPERATIVI 3.1 Struttura dei Componenti Servizi di un sistema operativo System Call Programmi di sistema Struttura del sistema operativo Macchine virtuali Progettazione e Realizzazione

Dettagli

Negli ultimi anni il mercato è

Negli ultimi anni il mercato è APPLICAZIONI DSP NELLE FPGA VIRTEX-4 Mariano Severi I dispositivi FPGA Xilinx della serie Virtex-4 consentono di realizzare sistemi DSP a elevate prestazioni grazie all utilizzo dei moduli hardware XtremeDSP

Dettagli

FlexBench: una piattaforma completa per la verifica dei sistemi embedded

FlexBench: una piattaforma completa per la verifica dei sistemi embedded EOESCLUSIVA FlexBench: una piattaforma completa per la verifica dei sistemi embedded Marco Pavesi - Italtel È di Italtel e Temento Systems la nuova suite per la prototipazione rapida dei sistemi-su-silicio

Dettagli

SPECIFICHE DEL PRODOTTO

SPECIFICHE DEL PRODOTTO A P P E N D I C E A SPECIFICHE DEL PRODOTTO A-1 Processore Core Logic Processore e Core Logic Intel P4 (2.8 ~ 3.2 GHz o superiore), 478 Pins FC-uPGA2 Tipo, 533/800 MHz FSB, 512 KB L2 con Error Correction

Dettagli

Provolo Sergio, Agosto Francesco

Provolo Sergio, Agosto Francesco PROGRAMMA DIDATTICO CONSUNTIVO CLASSE 4Ae Docente/i Disciplina Provolo Sergio, Agosto Francesco Sistemi Automatici Elettronici Unità di Lavoro 1: MEMORIE a semiconduttore Periodo: Settembre-Novembre Introduzione

Dettagli

MIPS & SPIM. Modulo del Corso di Architettura degli Elaboratori. Nicola Paoletti. Università di Camerino Scuola di Scienze e Tecnologie

MIPS & SPIM. Modulo del Corso di Architettura degli Elaboratori. Nicola Paoletti. Università di Camerino Scuola di Scienze e Tecnologie MIPS & SPIM Modulo del Corso di Architettura degli Elaboratori Nicola Paoletti Università di Camerino Scuola di Scienze e Tecnologie 10 Aprile 2013 AA 2012/2013 Informazioni utili (1/2) Email: nicola.paoletti@unicam.it

Dettagli

La memoria - tecnologie

La memoria - tecnologie Architettura degli Elaboratori e delle Reti Lezione 26 La memoria - tecnologie Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 26 1/24 Indirizzi

Dettagli

Corso di Calcolatori Elettronici I. Memorie. Prof. Roberto Canonico

Corso di Calcolatori Elettronici I. Memorie. Prof. Roberto Canonico Corso di Calcolatori Elettronici I Memorie Prof. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Informazione Corso di Laurea

Dettagli

Pentium: architettura di sistema

Pentium: architettura di sistema Pentium: architettura di sistema 1 2 TXC 4 3 5 6 PIIX 7 Pentium: architettura a livello di sistema 1 Il processore Pentum (1) è interfacciato sul bus con la memoria cache di livello 2 (2). L interfacciamento

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

CALCOLATORI ELETTRONICI

CALCOLATORI ELETTRONICI DIPARTIMENTO DI INGEGNERIA ELETTRICA ELETTRONICA E INFORMATICA Corso di laurea in Ingegneria elettronica Anno accademico 2017/2018-3 anno CALCOLATORI ELETTRONICI 9 CFU - 2 semestre Docente titolare dell'insegnamento

Dettagli

Ingegneria e Tecnologie dei Sistemi di Controllo. Sistemi di controllo industriale general-purpose. purpose: : PLC.

Ingegneria e Tecnologie dei Sistemi di Controllo. Sistemi di controllo industriale general-purpose. purpose: : PLC. Ingegneria e Tecnologie dei Sistemi di Controllo Sistemi di controllo industriale general-purpose purpose: : PLC Prof. Alberto Tonielli, Ing. Andrea Tilli DEIS Alma Mater Studiorum Università di Bologna

Dettagli

Cosa sono i microcontrollori?

Cosa sono i microcontrollori? 1 di 6 09/03/2012 17:23 There are no pending text suggestions from your readers Cosa sono i microcontrollori? DidasKnol - Elettronica Introduzione al mondo dei microcontrollori Contents Che cosa è un microcontrollore

Dettagli

Controllori industriali: PLC

Controllori industriali: PLC Laurea Specialistica in Ingegneria Informatica Laurea Specialistica in Ingegneria Elettronica e delle Telecomunicazioni Sistemi e Tecnologie per l'automazione LS Programmable Logic Controllers Ing. Andrea

Dettagli

G L O S S A R I O. Fondamenti di Informatica I - Università degli Studi di Trento Dott. Roberti Pierluigi

G L O S S A R I O. Fondamenti di Informatica I - Università degli Studi di Trento Dott. Roberti Pierluigi G L O S S A R I O BIT: acronimo di Binary Digit. E l unità elementare di informazione. Può assumere solo il valore 0 o 1. CALCOLATORE: macchina che opera la trasformazione dei dati (informazioni) HARDWARE:

Dettagli

Lezione 15 Il Set di Istruzioni (1)

Lezione 15 Il Set di Istruzioni (1) Lezione 15 Il Set di Istruzioni (1) Vittorio Scarano Architettura Corso di Laurea in Informatica Università degli Studi di Salerno Un quadro della situazione Input/Output Sistema di Interconnessione Registri

Dettagli

Architettura dei Field- Programmable Gate Array

Architettura dei Field- Programmable Gate Array Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Field-Programmable Gate Arrays A.a. 2004-2005 Architettura dei Field- Programmable Gate Array Un FPGA è un circuito integrato

Dettagli

Sistemi Informativi Aziendali. Programma del corso

Sistemi Informativi Aziendali. Programma del corso Sistemi Informativi Aziendali Corso di Laurea in Ingegneria Gestionale Programma del corso Anno Accademico 2008/09 Docente: Massimo Cossentino Programma del corso a.a. 2008-09 Introduzione al corso ICT

Dettagli

Modulo 1: Le I.C.T. UD 1.4b: Anatomia del Computer

Modulo 1: Le I.C.T. UD 1.4b: Anatomia del Computer Modulo 1: Le I.C.T. : Anatomia del Computer Prof. Alberto Postiglione Corso di Informatica Generale (AA 07-08) Corso di Laurea in Scienze della Comunicazione Università degli Studi di Salerno ANATOMIA

Dettagli

Sintesi Calcolatori Elettronici

Sintesi Calcolatori Elettronici Sintesi Calcolatori Elettronici Unità di misura Byte 1 2 KiloByte 1024 2 MegaByte 1048576 2 GigaByte 1073741824 2 TeraByte 1099511627776 2 1 Byte = 8 bit Complemento a 1 I numeri positivi vengono rappresentati

Dettagli