Computer Aided Design. Matteo Montani

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Computer Aided Design. Matteo Montani"

Transcript

1 Computer Aided Design Matteo Montani

2 Sommario Gestione di progetti logici complessi Metodologie di progetto (sintesi - verifica) Strategie di implementazione di circuiti digitali - Full custom (layout) - Semicustom (FPGA, GA, SoG, SC)

3 Introduzione La tecnologia microelettronica, basata sull utilizzo dei semiconduttori, ha subito un enorme evoluzione negli ultimi decenni. I circuiti VLSI (Very Large Scale Integration) realizzati con tecnologia CMOS (Complementary Metal Oxide Semiconductor) costituiscono la tecnologia strategica per lo sviluppo dei sistemi digitali. Il continuo incremento del livello di integrazione dei dispositivi microelettronici ha permesso la realizzazione di sistemi di complessità crescente.

4 Principali requisiti del mercato Livello di integrazione Complessità progettuale Prestazioni (ciclo di clock, ritardo o latenza, throughput) Dissipazione di potenza Affidabilità Testabilità Time-to-market Costi Importanza strategica delle metodologie e dei tool CAD (Computer Aided Design) o EDA (Electronic Design Automation) per raggiungere gli obiettivi di progetto nel rispetto dei tempi di sviluppo.

5 Livelli di astrazione Definiscono i livelli di dettaglio della descrizione del modello di un circuito o sistema digitale. Livello Sistema Livello Comportamentale o Behavioral Livello Architetturale o RT (Register Transfer) Livello Logico Livello Geometrico o Layout

6 Fasi di progetto di un circuito VLSI Progettazione: - Modellizzazione - Sintesi e ottimizzazione - Validazione Fabbricazione: - Fabbricazione delle maschere - Fabbricazione dei wafer Testing Packaging - Slicing del wafer - Packaging

7 Metodologia di progetto top-down Realizzata attraverso un metodo di progetto incrementale. Un flusso di operazioni viene ripetutamente applicato ad un progetto fino a raggiungere il più basso livello di astrazione Modello meno dettagliato Raffinamento del modello Modello più dettagliato

8 Metodologia di progetto top-down Applicata attraverso l iterazione di fasi di simulazione e sintesi a partire da una descrizione funzionale o specifica del sistema. Validazione della correttezza e completezza del modello iniziale ad alto livello. Dopo la validazione del modello ad alto livello, la descrizione subisce un processo di raffinamento (aggiunti maggiori dettagli al modello) e ottimizzazione. Validazione delle consistenza dei modelli sviluppati durante le successive fasi di progetto.

9 Metodologie di design Il processo di design attraversa iterativamente 3 livelli di astrazione: behavior, structure and geometry

10 Metodologie di implementazione Approcci per l implementazione di circuiti digitali Custom Semi-custom Cell based Array based Standard cells Macro cells Prediffused (Gate Array) Pre-wired (FPGA)

11 Custom Design Layout editor

12 Custom design tools Design rule checking - Technology file - Molto costoso computazionalmente se applicato su un intero chip -> preservazione della gerarchia Circuit extraction - Deriva lo schematico del circuito dal layout fisico - Il circuito risultante contiene precise informazioni sulle resistenze e capacità parassite - Il circuito estratto è usato per simulare il corretto funzionamento

13 Cell based design Metodo di progettazione basato su una libreria di celle che mettono a disposizione funzioni logiche normalmente usate (NAND, NOR, MUX, comparatori, contattori ) Vantaggi: - Diminuzione dei tempi di progettazione Svantaggi: - Minor ottimizzazione in termini di area, effetti parassiti, potenza dissipata e anche prestazioni

14 Cell based design

15 Standard cells - libreria Lo sviluppo della libreria di celle è un problema rilevante: - Il fan-out delle celle non è conosciuto a priori - Le capacità relative alle linee non sono conosciute a priori La libreria deve contenere molti elementi, e comunque non sarà ottimizzata alla particolare applicazione Generazione automatica

16 Standard cells - Esempio

17 Macro cells Floorplan: Definisce la topologia del design, relativamente al piazzamento dei moduli e il ruoting dei bus, le alimentazioni e i clock.

18 Macrocell - Esempio

19 Cell based approach - Diminuisce il tempo di progettazione a scapito di un riduzione dell ottimizzazione del layout. - Non c è un risparmio in fase di produzione, visto che comunque le maschere di layout vengono generate in base allo specifico progetto Array-based methodology

20 Gate-array

21 Sea of gates

22 Sea-of-gates - Esempio

23 Prewired Arrays Categorie dei prewired arrays: Fuse-based (programmabili una sola volta) Non-volatile EPROM-based RAM - based

24 Dispositivi logici programmabili

25 Field Programmable Gate Array (FPGA) Idea base: consideriamo un array bidimensionale di blocchi logici e di flip-flop, dove l utente possa configurare: - Le interconnessioni tra i blocchi - La funzione logica di ciascun blocco Blocchi logici Interconnessioni

26 Perché usare FPGA Fast prototyping Costi inferiore per una produzione limitata Minor costo di progetto

27 Differenze tra FPGA Le famiglie di FPGA differiscono per come fisicamente viene implementata la programmazione per: - I collegamenti tra i blocchi - Programmazione dei blocchi stessi LB LB I blocchi logici devono essere programmati Le interconnessioni devono essere programmate

28 Programmabilità (FPGA): interconnessioni Fuse-based (ex: Actel) + non volatile + relativamente piccola - non riprogrammabile Latch-based (ex: Xilinx, Altera) + riconfigurabile - volatile - relativamente grande

29 Blocco Logico (FPGA) 4 input look up table (LUT) Implementa qualsiasi funzione combinatoria a 4 ingressi Registro memorizzazione opzionale dell output della LUT

30 4-bit LUT n-bit LUT è implementata come una memoria di dimensione 2 n x 1 Otteniamo un gate general purpose - Possiamo implementare qualsiasi funzione logica

31 Generico processo di design per FPGA Design Entry Design Verification Design Implementation Design Entry - Creare il design file (schematic, VHDL) Design implementation on FPGA - Place and route per la generazione del file di programmazione Design verification - Simulazione con i parametri corretti - Verifica sperimentale del ciruito

32 VHDL Linguaggio di descrizione di un sistema hardware Modella il comportamento funzionale Supporta una programmazione gerarchica, in modo da poter programmare a diversi livelli di astrazione Implementa delle strutture per descrivere le peculiarità di un sistema hardware: - Concorrenza - Sequenzialità - temporizzazioni

33 VHDL - Esempio A B Q CLK

34 VHDL - Esempio

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Metodologie di progetto HW Livelli d astrazione

Metodologie di progetto HW Livelli d astrazione Metodologie di progetto HW Livelli d astrazione Diagramma Y Flusso di sintesi Livelli di astrazione Versione del 15/03/06 Domini di rappresentazione dei circuiti: funzionale strutturale e fisico Tecnologia

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Tecniche Analogiche e tecniche Digitali

Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Da rappresentazione Analogica a Digitale Trattamento dell'informazione Esercizi riepilogativi 2 2005 Politecnico di Torino

Dettagli

Circuiti integrati semi-custom

Circuiti integrati semi-custom Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Introduzione Qualsiasi sistema digitale

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Architettura dei Field- Programmable Gate Array

Architettura dei Field- Programmable Gate Array Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Field-Programmable Gate Arrays A.a. 2004-2005 Architettura dei Field- Programmable Gate Array Un FPGA è un circuito integrato

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LS Bologna, 21 Novembre 2003 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Reti logiche A All. Informatici (M-Z)

Reti logiche A All. Informatici (M-Z) Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LA Cesena, 4 Febbraio 2005 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c. a.a.

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c. a.a. Productivity Trends 1 Logic Transistor per Chip (M) Complexity 10,000 1,000 100 10 1 0.1 0.01 Logic Tr./Chip Tr./Staff Month. x x x x x x x x 58%/Yr. compounded Complexity growth rate 21%/Yr. compound

Dettagli

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione Indice Introduzione I VII Capitolo 1 Circuiti integrati digitali 1.0 Introduzione 1 1.1 Processo di integrazione CMOS 2 1.2 Caratteristiche elettriche dei materiali 11 1.2.1 Resistenza 11 1.2.1.1 Contatti

Dettagli

Giancarlo Rini (rini) FPGA. 29 September 2011

Giancarlo Rini (rini) FPGA. 29 September 2011 Giancarlo Rini (rini) FPGA 29 September 2011 Con questa articolo si vuole dare una breve descrizione dell'architettura interna di una FPGA. Cercare di capire quali sono i pregi e i difetti di questo strumento

Dettagli

Fondamenti di Informatica B

Fondamenti di Informatica B Fondamenti di Informatica B Lezione n. 8 Alberto Broggi Gianni Conte A.A. 2005-2006 Fondamenti di Informatica B DESCRIZIONE LIVELLO REGISTRO REGISTER TRANSFER LEVEL (RTL) I MODULI BASE RTL STRUTTURE DI

Dettagli

F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4- Metodi di progetto digitale :» Sintesi di un filtro FIR, soluzioni SW e HW» Valutazione dei parametri

Dettagli

Ingegneria dell Informazione F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

Ingegneria dell Informazione F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4- Metodi di progetto digitale :» Sintesi di un filtro FIR, soluzioni SW e HW» Valutazione dei parametri

Dettagli

Ingegneria dell Informazione D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

Ingegneria dell Informazione D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI D4- Metodi di progetto digitale :» Sintesi di un filtro FIR, soluzioni SW e HW» Valutazione dei parametri

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili.

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili. Di cosa parliamo in questa lezione? Lezione E5 Logiche In questa lezione si descrivono i dispositivi logici Logiche Sistemi embedded e real-time 2 Struttura degli 24 gennaio 23 3 Dipartimento di Ingegneria

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

SisElnF4 10/01/ /01/ SisElnF DDC/GP/MGG. 10/01/ SisElnF DDC/GP/MGG. Kilby 1958 Texas Instrument (1 Flip-Flop)

SisElnF4 10/01/ /01/ SisElnF DDC/GP/MGG. 10/01/ SisElnF DDC/GP/MGG. Kilby 1958 Texas Instrument (1 Flip-Flop) Ingegneria dell Informazione Obiettivi del gruppo di lezioni F Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4 Tecnologie e flussi di progetto» Evoluzione delle tecnologie»

Dettagli

Metodologie di progetto hardware All. Informatici e telecomunicazioni (A-ZZZ)

Metodologie di progetto hardware All. Informatici e telecomunicazioni (A-ZZZ) Metodologie di progetto hardware All. Informatici e telecomunicazioni (A-ZZZ) Fabrizio Ferrandi a.a. 2009-2010 Design challenges I sistemi elettronici stanno diventando sempre più grandi mentre i tempi

Dettagli

Metodologie di progetto hardware All. Informatici e telecomunicazioni (A-ZZZ) Fabrizio Ferrandi a.a

Metodologie di progetto hardware All. Informatici e telecomunicazioni (A-ZZZ) Fabrizio Ferrandi a.a Metodologie di progetto hardware All. Informatici e telecomunicazioni (A-ZZZ) Fabrizio Ferrandi a.a. 2009-2010 Design challenges I sistemi elettronici stanno diventando sempre più grandi mentre i tempi

Dettagli

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA)

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Stefano Mattoccia, DISI - University of Bologna 1 Architettura di una FPGA Anche se in questo corso si adotterà una metodologia

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Tecnologie per sistemi embedded. Marco Cesati. Schema della lezione. Application Specific Integrated Circuit. Programmable Logic Device

Tecnologie per sistemi embedded. Marco Cesati. Schema della lezione. Application Specific Integrated Circuit. Programmable Logic Device Di cosa parliamo in questa lezione? Lezione E2 In questa lezione parliamo di alcuni aspetti legati al processo di realizzazione di un sistema embedded Sistemi embedded e real-time 1 2 Varie tecnologie

Dettagli

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Lezione E2. Sistemi embedded e real-time

Lezione E2. Sistemi embedded e real-time Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Design for Testability (DFT): Scan

Design for Testability (DFT): Scan Design for Testability (DFT): Full-Scan Definizioni Metodi ad-hoc Scan design Regole di progetto Registri Flip-flops Scan test sequences Overhead Sistemi di progetto basati sulla scansione Sommario 1 Definizioni

Dettagli

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni Progetto di sistemi elettronici LA - esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni copie dei lucidi presentati a lezione breve guida all utilizzo di QUARTUS codici VHDL sommatore a 4

Dettagli

2. Le fasi della realizzazione di sistemi elettronici.

2. Le fasi della realizzazione di sistemi elettronici. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 2-1 2. Le fasi della realizzazione di sistemi elettronici. Nella creazione di un circuito elettronico integrato possono

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Reti con reazione e memoria Le funzioni logiche e le relative reti di implementazione visto fino ad ora sono note come reti combinatorie Le reti combinatorie non

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili.

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. GLOSSARIO A ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. AHDL Altera Hardware Description Language. Linguaggio di descrizione dell hardware sviluppato

Dettagli

Gate Arrays. Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1

Gate Arrays. Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1 Gate Arrays Cosa sono? Sono utili? Li posso usare anch io? Quali sono i tools di sviluppo? ALTERA XILINX ECC. 10/09/09 Cibernetico elettronico 1 Circuti integrati Un insieme di porte logiche costruite

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI. E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1

REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI. E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1 REALIZZAZIONE DI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI E. Del Re - Fondamenti di Elaborazione Numerica dei Segnali 1 CARATTERISTICHE DEGLI ALGORITMI E DEI SISTEMI DI ELABORAZIONE NUMERICA DEI SEGNALI

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

Costruire memorie ancora più grandi

Costruire memorie ancora più grandi Costruire memorie ancora più grandi Assemblando blocchi di memoria potremmo ottenere memorie ancora più grandi, e così via. Vogliamo ottenere memorie di GBytes! Questo «scala»? Problemi: Numero enorme

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

CORSO DI LAUREA: Ingegneria Informatica e dell Automazione

CORSO DI LAUREA: Ingegneria Informatica e dell Automazione FACOLTA : INGEGNERIA CORSO DI LAUREA: Ingegneria Informatica e dell Automazione INSEGNAMENTO: Elettronica dei Sistemi Digitali CFU: 9 NOME DOCENTE: Mauro Ballicchia SEDE DI AFFERENZA DEL DOCENTE: Novedrate

Dettagli

Politecnico di Milano

Politecnico di Milano Politecnico di Milano Riconfigurazione dinamica parziale di FPGA per la correzione di guasti SEU: analisi dello delle Tesi di Laurea di: Stefano Bolli Francesco Bordoni Matr.670361 stefano.bolli@dresd.org

Dettagli

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali.

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali. INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1 1.2) Interruttori ideali e reali. 1 1.3) Condizioni operative statiche del transistore a giunzione. 5

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

7. I linguaggi descrittivi HDL.

7. I linguaggi descrittivi HDL. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 7-1 7. I linguaggi descrittivi HDL. Introduzione. I linguaggi descrittivi HDL (Hardware Description Language) nascono

Dettagli

Università degli Studi del Sannio. Facoltà di Ingegneria

Università degli Studi del Sannio. Facoltà di Ingegneria - Impressionante crescita in complessità degli attuali IC digitali - Progesso tecnologico più veloce di capacità umana di progettazione - Necessità di strumenti CAD e di ben definite metodologie di progetto

Dettagli

Parte 2.c. Elaborazione: Hardware dedicato

Parte 2.c. Elaborazione: Hardware dedicato Parte 2.c Elaborazione: Hardware dedicato Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 1 Introduzione [DeMicheli-c1] 1.1 Generalità Motivazioni: Ottimizzazione delle prestazioni Riduzione

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

Misure e Sistemi Microelettronici (MSM) Sistemi

Misure e Sistemi Microelettronici (MSM) Sistemi Misure e Sistemi Microelettronici (MSM) Sistemi Prof. Stefano Bertazzoni I semestre II emisemestre dal 24-11-08 al 29-01-09 Lunedì ore 13.30 15.45 Giovedì ore 14.00 16.15 Aula 4 NE Aula 2 NE Ricevimento

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Sistemi dedicati per applicazioni di calcolo. Alessandro Marongiu ENEA - INFO

Sistemi dedicati per applicazioni di calcolo. Alessandro Marongiu ENEA - INFO Sistemi dedicati per applicazioni di calcolo Alessandro Marongiu ENEA - INFO Outline Applicazioni Architetture Convenzionali Architetture dedicate Parametri tecnico/economici Hardware/Software co-design

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

IIS Via Silvestri 301 ITIS Volta Programma svolto di Elettrotecnica ed Elettronica A.S. 2016/17 Classe 3 B

IIS Via Silvestri 301 ITIS Volta Programma svolto di Elettrotecnica ed Elettronica A.S. 2016/17 Classe 3 B IIS Via Silvestri 301 ITIS Volta Programma svolto di Elettrotecnica ed Elettronica A.S. 2016/17 Classe 3 B Modulo n 1 - grandezze elettriche e reti lineari in corrente continua 1.1 Le grandezze elettriche

Dettagli

ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A I SESSIONE - ANNO 2015 SEZIONE A- Settore Industriale

ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A I SESSIONE - ANNO 2015 SEZIONE A- Settore Industriale ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A SEZIONE A- Settore Industriale PRIMA PROVA TRACCIA N. 1: Impianti chimici Il Candidato illustri le problematiche

Dettagli

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Design Methodologies. December 10, 2002

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Design Methodologies. December 10, 2002 Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 Digital Circuit Implementation Approaches Custom Semicustom Cell-based Array-based Standard Cells Compiled Cells

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

INdICe. Prefazione XIII. 3 Capitolo 1 Classificazioni e concetti base

INdICe. Prefazione XIII. 3 Capitolo 1 Classificazioni e concetti base INdICe XIII Prefazione 3 Capitolo 1 Classificazioni e concetti base 3 1.1 Sistemi - Un approccio gerarchico 4 1.2 Sistemi basati su componenti programmabili 1.2.1 Concetto di Sistema di Elaborazione, p.

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

Lezione 1. Sistemi operativi. Marco Cesati System Programming Research Group Università degli Studi di Roma Tor Vergata.

Lezione 1. Sistemi operativi. Marco Cesati System Programming Research Group Università degli Studi di Roma Tor Vergata. Lezione 1 Sistemi operativi 4 marzo 2014 System Programming Research Group Università degli Studi di Roma Tor Vergata SO 14 1.1 Di cosa parliamo in questa lezione? È una introduzione generale ai sistemi

Dettagli

Analisi, Descrizione e Implementazione di un Architettura FILTRO FIR a Coefficienti Variabili, di Alessandro Paghi e Lorenzo De Marinis.

Analisi, Descrizione e Implementazione di un Architettura FILTRO FIR a Coefficienti Variabili, di Alessandro Paghi e Lorenzo De Marinis. Analisi, Descrizione e Implementazione di un Architettura FILTRO FIR a Coefficienti Variabili, di Alessandro Paghi e Lorenzo De Marinis. Fase di Analisi Pre Descrizione Strumenti utilizzati: - MATLAB R2014a;

Dettagli

Microelettronica. Note su RISC. Stefano Salvatori. Università degli Studi Roma Tre. Università degli Studi Roma Tre

Microelettronica. Note su RISC. Stefano Salvatori. Università degli Studi Roma Tre. Università degli Studi Roma Tre Microelettronica Note su RISC Stefano Salvatori (salvator@uniroma3.it) Premessa 1980: Patterson e Ditzel, The case for the reduced instruction set computer Berkley: RISC I (progetto molto semplice rispetto

Dettagli

ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300

ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300 ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300 A.Bonomo, F.Campi Descrizione funzionale delle MAX3000 L architettura MAX3000A include i seguenti elementi: LAB (Blocchi di Array logici); Macrocelle; Expander

Dettagli

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr.

Laboratorio II, modulo Elettronica digitale (2 a parte) (cfr. Laboratorio II, modulo 2 2016-2017 Elettronica digitale (2 a parte) (cfr. http://physics.ucsd.edu/~tmurphy/phys121/phys121.html) Esempio (reale) di comparatore + V V in + R V out V ref - V out V ref V

Dettagli

Memorie a semiconduttore

Memorie a semiconduttore Memoria centrale a semiconduttore (Cap. 5 Stallings) Architettura degli elaboratori -1 Pagina 209 Memorie a semiconduttore RAM Accesso casuale Read/Write Volatile Memorizzazione temporanea Statica o dinamica

Dettagli

Circuiti combinatori notevoli

Circuiti combinatori notevoli Circuiti combinatori notevoli Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimenti: Sezione C3. 1/33 Sommario Implementazione

Dettagli

TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE

TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE UNITA CAPITALIZZABILI PER LA FIGURA PROFESSIONALE TECNICO SUPERIORE PER L AUTOMAZIONE INDUSTRIALE ISTRUZIONE E FORMAZIONE TECNICA SUPERIORE 73 74 ISTRUZIONE E FORMAZIONE TECNICA SUPERIORE UNITÀ CAPITALIZZABILE

Dettagli

Flusso di progetto circuiti digitali

Flusso di progetto circuiti digitali Flusso di progetto circuiti digitali (anni 80/90) 1) Definizione Algoritmica : y=-x; 2) Schematic Entry 3) Custom Layout (place & route) 4) Parasitic extraction & Backannotation Il Design Productivity

Dettagli

Chapter 6 Selected Design Topics

Chapter 6 Selected Design Topics Logic and Computer Design Fundamentals Chapter 6 Selected Design Topics Part 4 Programmable Implementation Technologies Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

Dettagli

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 sufficiente al superamento della prova e non rende possibile l accesso alla prova orale. Quesito n.1: Confrontare, a parità di dispositivo di carico e di

Dettagli

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2 Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it

Dettagli

Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni. a.a.

Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni. a.a. 1 Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni Altro Materiale o In biblioteca e nel sito docente: breve guida all utilizzo di QUARTUS

Dettagli

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

Page 1. SisElnF5 1/21/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F

Page 1. SisElnF5 1/21/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

Memorie a semiconduttore (1)

Memorie a semiconduttore (1) Elettronica II Corso di Laurea in Informatica Crema, 22 maggio 2002 (1) Department of Electrical Engineering The University of Texas at Dallas P.O. Box 830688 Richardson, Texas 75083 E-mail: stefano@utdallas.edu

Dettagli

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche

SisElnF5 1/21/2003. F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F5 Trend tecnologico e famiglie logiche» Trend tecnologico dell elettronica digitale» Famiglie logiche cablate:

Dettagli

I circuiti sequenziali

I circuiti sequenziali Elementi di logica digitale I circuiti sequenziali I circuiti combinatori non hanno memoria. Gli output dipendono unicamente dagli input. ono necessari circuiti con memoria, che si comportano in modo diverso

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Logiche programmabili

Logiche programmabili Appendice C Logiche programmabili C.1 Sommario Dopo aver elencato le diverse tipologie di logiche programmabili evidenziandone i principali pregi e difetti si passa ad una descrizione particolareggiata

Dettagli

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A Technology Dependance Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Introduzione al linguaggio VHDL F.Campi A.a. 2004-2005 Design Iteration Semicustom Design Flow Pre-Layout

Dettagli

Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO)

Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) Cosa è? Come lo si usa? Come iniziare? Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) 1^ parte Cosa è Arduino? Arduino è una piattaforma di sviluppo open-source basata su una semplice scheda

Dettagli

Esercitazione di laboratorio n. 2

Esercitazione di laboratorio n. 2 Esercitazione di laboratorio n. 2 Argomento dell esercitazione Progetto di circuiti combinatori. L esercitazione è composta di tre esercizi: progetto di un Full Adder da 1 bit (esercizio 1), e suo riutilizzo

Dettagli

Elettronica di lettura resistente alle radiazioni con elevato throughput basata su dispositivi logici programmabili

Elettronica di lettura resistente alle radiazioni con elevato throughput basata su dispositivi logici programmabili Elettronica di lettura resistente alle radiazioni con elevato throughput basata su dispositivi logici programmabili (Radiation Tolerant High-Throughput Readout Electronics based on Programmable Logic Devices)

Dettagli

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI CORSO DI ELETTRONICA DEI SISTEMI DIGITALI Capitolo 1 Porte logiche in tecnologia CMOS 1.0 Introduzione 1 1.1 Caratteristiche elettriche statiche di un transistore MOS 2 1.1.1 Simboli circuitali per un

Dettagli