Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware"

Transcript

1 Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very High Speed Integrated Circuits). VHDL= VHSIC Hardware Description Language Nel 1987 il VHDL è stato adottato come standard dalla IEEE (Institution of Electrical and Electronics Engineering): VHDL-87 Nel 1993 lo standard è stato revisionato dalla IEEE. Versione attuale del linguaggio: VHDL-93 Per fortuna, il VHDL-93 differisce solo in pochi dettagli dal VHDL-87 (gli esempi del corso, salvo diversa indicazione, possono essere analizzati con un sistema di sviluppo che supporta uno qualsiasi dei due standard) 1

2 Altri linguaggi per la descrizione dell hardware VERILOG: inizialmente linguaggio propietario della Cadence, è attualmente standard IEEE. Il VHDL ed il VERILOG coprono la grande maggioranza delle applicazioni, con una progressiva tendenza a favore del VHDL. Esistono altri linguaggi, molto spesso propietari, meno generali del VHDL e del VERILOG: ABEL (Advanced Boolean Equation Language) inizialmente proprietario di DATA I/O corp. è ora supportato da XILINX sintassi semplice ed intuitiva - poco flessibile adatto per progetti non complessi (PLD) AHDL (Altera Hardware Description Language) supportato da ALTERA simile ad ABEL 2

3 A cosa serve il VHDL? Flusso di progetto di un sistema digitale integrato livelli di astrazione Specifiche Descrizione comportamentale Descrizione RTL register-transfer gate transistor layout VHDL utilizzato nei tre livelli: comportamentale, RTL, gate per: - Simulazione - Sintesi (passaggio automatico da un livello di astrazione ad un altro inferiore) 3

4 Simulazione VHDL descrizione VHDL comportamentale (alto livello) test bench VHDL (stimoli di ingresso) Simulatore VHDL forme d onda file dati test bench identici! descrizione VHDL gate-level (basso livello) test bench VHDL (stimoli di ingresso) forme d onda Simulatore VHDL file dati VERIFICA: risultati identici per descrizioni comportamentali e gate-level 4

5 Sintesi VHDL descrizione VHDL comportamentale (alto livello) definizione del dispositivo target direttive di sintesi Sintetizzatore VHDL files di report netlist programma di place & route modello per simulazione post-layout (VHDL) files di report file per programmazione FPGA / CPLD 5

6 Simulazione e Sintesi VHDL Sono processi completamente differenti (per una stessa decrizione VHDL) Simulazione: verifica comportamento Ingresso - Uscita Sintesi: passaggio automatico da una descrizione ad alto livello (comportamentale) ad una a basso livello (netlist) Si utilizzano programmi CAD completamente differenti per le fasi di sintesi e di simulazione (sia la fase di sintesi che quella di simulazione prevedono un passo intermedio di compilazione del listato VHDL) Solo un limitato sottoinsieme del VHDL è sintetizzabile! 6

7 Vantaggi del VHDl (rispetto a schematic entry) potenza e flessibilità: il VHDL ha dei costrutti linguistici molto potenti che consentono di descrivere con poche righe circuiti di decine di migliaia di gates (il progettista si può concentrare sul comportamento del sistema, non su i dettagli implementativi) progettazione device-independent: il VHDL consente di descrivere il funzionamento di un sistema senza dover preventivamente decidere il dispositivo per l implementazione => riutilizzo in più progetti => utilizzo di Intellectual Properties (IP) portabilità: il VHDL è uno standard perfettamente codificato: una descrizione VHDL simulata con sistemi di sviluppo differenti, su piattaforme hardware differenti) fornisce gli stessi risultati (almeno in teoria...) riduzione dei tempi di sviluppo e dei costi 7

8 Svantaggi del VHDl (sintesi) decide (quasi) tutto il sintetizzatore: si ha poco controllo nel definire l implementazione gate-level di un sistema descritto ad alto livello (direttive di sintesi) il circuito sintetizzato può non essere efficiente: molto spesso ciò è dovuto ad una descrizione VHDL inefficace (come un programma C scritto male può essere molto lento o richiedere eccessiva memoria, un codice VHDL scritto male può dar luogo ad una logica inutilmente complessa) la qualità del circuito sintetizzato varia da tool a tool: problema meno sentito, grazie al continuo miglioramento dei sistemi di sviluppo. 8

9 Osservazioni sull utilizzo del VHDl per la sintesi Solo un sottoinsieme del VHDL è sintetizzabile: Il VHDL è un linguaggio completo (e complesso) e consente: operazioni su files, definizione di puntatori ecc. che non hanno corrispettivo hardware. è necessario conoscere quali costrutti sono sintetizzabili, e con quali limitazioni. I sintetizzatori VHDL, a volte, non effettuano alcuni controlli sul codice: è possibile avere descrizioni VHDL sintetizzabili ma non simulabili! è possibile avere descrizioni VHDL sintetizzabili e simulabili, ma con comportamenti pre e post sintesi defferenti! 9

10 Tecnologie per la realizzazione di sistemi digitali artigianale full-custom standard cell complessità tecnologica Sistema digitale semi-custom gate-array sea of gates costi NRE time to prototype densità, velocità, #gate programmabile FPGA CPLD PLD FPGA CPLD gate arrays full-custom Costo Volume di produzione 10

11 Sistemi full-custom Devono essere completati tutti i passi tecnologici (maschere) necessari per realizzare il circuito integrato approccio artigianale : elemento atomico = rettangolo di layout (polisilicio, diffusione ecc.) Massima flessibilità + Massima complessità approccio standard-cell : elemento atomico = cella appartenenete ad una libreria (potre NAND, AOI, mux, flip-flop ecc) Sistema descritto come interconnessione di celle di libreria (netlist) Piazzamento e collegamento delle celle: automatico La netlist viene ottenuta molto spesso tramite sintesi di una descrizione VHDL ad alto livello 11

12 Sistemi semi-custom gate array: circuito di partenza prediffuso (master) opportunamente personalizzato Celle logiche prediffuse canali di collegamento per personalizzazione pin di I/O I master sono tutti uguali fra loro e vengono prodotti in larga scala, abbassando i costi di produzione Personalizzazione = definizione di linee di collegamento in metal I (pochi, semplici passi tecnologici) (ridotto time to prototype) Non vengono sfruttate tutte le celle del master (utilizzo non ottimale del Silicio) 12

13 Logiche programmabili Dispositivi più semplici (fino a circa 1kgate): PLD (Programmable Logic Devices) Struttura interna di una semplice PLD PLA (Programmable Logic Array) I1 I2 I3 I4 P1 P2 P3 P4 P5 Y1 Y2 Y3 Piano AND + piano OR programmabili Realizzano funzioni logiche espresse come somma di mintermini Schema semplificato di una PLA I1 I2 I3 I4 P1 P2 P3 P4 P5 Y1 Y2 Y3 13

14 Logiche programmabili (2) PAL: piano AND programmabile + piano OR fisso I1 I2 I3 I4 x x Y1 Y2 Y3 Logica in due passi e programmazione della polarità dell uscita I1 I2 I3 I4 x x x +Vdd +Vdd +Vdd Z1 Z2 Z3 Y1 Y2 Y3 Struttura di principio PAL con macrocelle di uscita: I1 I2 I3 I4 Matrice logica programmabile Clock Macrocelle di uscita Y1 Y2 Y3 14

15 PLD complessi (CPLD) Matrici Logiche programmabili (FPGA) CPLD: insieme di blocchi logici di tipo PAL, collegabili grazie ad un insieme di interconnessioni programmabili Blocco Logico Blocco Logico I/O Blocco Logico Blocco Logico Interconnessioni programmabili Blocco Logico Blocco Logico I/O Blocco Logico Blocco Logico FPGA: struttura di tipo gate array : molte celle logiche programmabili, relativamente semplici, collegabili grazie ad un insieme di interconnessioni programmabili Interconnessioni programmabili Blocchi di I/O Celle logiche 15

16 Confronto CPLD-FPGA Da un punto di vista applicativo: FPGA e CPLD molto simili Flusso di sviluppo analogo struttura interna: CPLD struttura a grana grossa (poche celle, alquanto complesso) FPGA struttura a grana fine (molte celle, alquanto semplici) (maggior numero di flip-flop) tempi di propagazione FPGA molto dipendenti dalle interconnessioni: poco predicibile prima della fase di place and route molto variabile a seconda dell applicazione. 16

17 Tecniche di programmazione: antifuse: consente di creare, in maniera irreversibile, un collegamento fra due linee di metal. non riprogrammabile; non volatile (ACTEL, QuickLogic) linee di interconnessione in metallo metal 3 antifusibile in silicio amorfo metal 2 via di collegamento via di collegamento metal 1 substrato SRAM + transmission gate: riprogrammabile; volatile; richiede ROM di boot (Xilinx XC4000, Altera Flex 10k,...) N W c e lla S R A M c e lla S R A M c e lla S R A M O c e lla S R A M S c e lla S R A M c e lla S R A M EPROM; EEPROM: nonvolatile; non richiede ROM di boot ; riprogrammabile (EEPROM) (Xilinx EPLD, Altera Max,...) 17

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Sistemi logici complessi

Sistemi logici complessi Sistemi logici complessi circuiti logici standard prevedono, per la realizzazione di un sistema complesso, i seguenti passi: definizione delle specifiche descrizione del sistema mediante interconnessione

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL Introduzione al linguaggio VHDL Il VHDL è un linguaggio per la sintesi e la simulazione di circuiti digitali, uno standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili.

GLOSSARIO. ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. GLOSSARIO A ABEL Advanced Boolean Expression Language. Linguaggio di progettazione per logiche programmabili. AHDL Altera Hardware Description Language. Linguaggio di descrizione dell hardware sviluppato

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

7. I linguaggi descrittivi HDL.

7. I linguaggi descrittivi HDL. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 7-1 7. I linguaggi descrittivi HDL. Introduzione. I linguaggi descrittivi HDL (Hardware Description Language) nascono

Dettagli

Architettura dei Field- Programmable Gate Array

Architettura dei Field- Programmable Gate Array Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Field-Programmable Gate Arrays A.a. 2004-2005 Architettura dei Field- Programmable Gate Array Un FPGA è un circuito integrato

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata

Marco Cesati Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Lezione E2. Sistemi embedded e real-time

Lezione E2. Sistemi embedded e real-time Lezione E2 Sistemi embedded e real-time 4 ottobre 2012 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E2.1 Di cosa parliamo in questa lezione?

Dettagli

Università degli Studi del Sannio. Facoltà di Ingegneria

Università degli Studi del Sannio. Facoltà di Ingegneria - Impressionante crescita in complessità degli attuali IC digitali - Progesso tecnologico più veloce di capacità umana di progettazione - Necessità di strumenti CAD e di ben definite metodologie di progetto

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

Dispositivi logici programmabili (PLD)

Dispositivi logici programmabili (PLD) 58 G2 H2 Dispositivi logici programmabili (PLD) Un dispositivo logico programmabile costituisce una grande piattaforma sulla quale è possibile cablare circuiti che richiederebbero una gran quantità di

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

LOGICHE PROGRAMMABILI: PREFAZIONE

LOGICHE PROGRAMMABILI: PREFAZIONE LOGICHE PROGRAMMABILI: PREFAZIONE 1. INTRODUZIONE Diverse aree dell industria elettronica stanno ponendo sempre più interesse alle logiche programmabili. Le logiche programmabili ad alta densità offrono

Dettagli

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC.

ETLC2 - A1 04/05/ /05/ ETLC2 - A DDC 04/05/ ETLC2 - A DDC. Full Custom 04/05/ ETLC2 - A DDC. ETLC2 - A 4/5/25 Modulo Politecnico di Torino Facoltà dell Informazione Elettronica delle telecomunicazioni II Presentazione A Dispositivi logici programmabili» Circuiti standard e custom» Componenti programmabili»

Dettagli

Chapter 6 Selected Design Topics

Chapter 6 Selected Design Topics Logic and Computer Design Fundamentals Chapter 6 Selected Design Topics Part 4 Programmable Implementation Technologies Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks are active

Dettagli

ASIC CARATTERISTICHE GENERALI INTRODUZIONE

ASIC CARATTERISTICHE GENERALI INTRODUZIONE ASIC CARATTERISTICHE GENERALI INTRODUZIONE La complessità di un circuito di elettronica digitale rende spesso difficoltosa la realizzazione del circuito stampato. Inevitabilmente ciò comporta l aumento

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Elettronica dei Sistemi Digitali Dispositivi logici programmabili

Elettronica dei Sistemi Digitali Dispositivi logici programmabili Elettronica dei Sistemi Digitali Dispositivi logici programmabili Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 6013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Generazione di Impulsi Digitali. Antonio Affinito

Generazione di Impulsi Digitali. Antonio Affinito Generazione di Impulsi Digitali Antonio Affinito Dove troviamo i segnali digitali? Alcuni esempi: Centralina Auto Monitor LCD Computer Cellulare etc Dove troviamo i segnali digitali? Il generico moderno

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche

FPGA: Introduzione. Dispositivi Programmabili. FPGA - Flessibilità e prestazioni. FPGA - Caratteristiche FPGA: Introduzione Dispositivi Programmabili FPGA (Field( Programmable Gate Arrays) Introduzione Famiglie di FPGA: architetture e tipi di blocchi I blocchi logici di base Flusso di progetto e technology

Dettagli

Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica)

Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica) Insegnamenti di Sistemi Elettronici Dedicati 1 (Corso di Laurea in Ingegneria Elettronica) Sistemi Elettronici Digitali1 (Corso di Laurea Specialistica in Ingegneria delle Telecomunicaizoni) Introduzione

Dettagli

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z

COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 ALLIEVI INFORMATICI J-Z COMPITO DI ELETTRONICA DIGITALE DEL 21/12/2005 sufficiente al superamento della prova e non rende possibile l accesso alla prova orale. Quesito n.1: Confrontare, a parità di dispositivo di carico e di

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Sistemi Embedded. Sommario

Sistemi Embedded. Sommario Sistemi Embedded Tecnologie hardware Ing. Luigi Pomante Università dell Aquila DEWS luigi.pomante@univaq.it Tecnologie hardware Tecnologie (AS)IC Full custom Standard cell Gate array Tecnologie programmabili

Dettagli

imparare & approfondiredi FRANCESCO PENTELLA

imparare & approfondiredi FRANCESCO PENTELLA & imparare & approfondiredi FRANCESCO PENTELLA Lezioni di VHDL (parte prima) Introduzione al linguaggio Da questo numero inizia un corso sul linguaggio VHDL utilizzato per la definizione di soluzioni logiche.

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

Calcolatori Elettronici A a.a. 2008/2009

Calcolatori Elettronici A a.a. 2008/2009 Calcolatori Elettronici A a.a. 2008/2009 IL LIVELLO HARDWARE Introduzione alle reti logiche Massimiliano Giacomin 1 DOVE CI TROVIAMO Livello del linguaggio specializzato Traduzione (compilatore) o interpretazione

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Moduli combinatori Barbara Masucci

Moduli combinatori Barbara Masucci Architettura degli Elaboratori Moduli combinatori Barbara Masucci Punto della situazione Ø Abbiamo studiato le reti logiche e la loro minimizzazione Ø Obiettivo di oggi: studio dei moduli combinatori di

Dettagli

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Panoramica delle principali famiglie logiche cablate. Parametri di progetto (livelli, correnti, ritardi, consumi, etc..) F3x - Presentazione della lezione F3 1/1- Obiettivi Analisi del trend tecnologico Panoramica delle principali famiglie logiche cablate Parametri di progetto (livelli, correnti, ritardi, consumi, etc..)

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena Fabio Campi Aa 2003-2004 Elettronica dei Sistemi Digitali Fabio Campi, fcampi@deis.unibo.it (con parsimonia ) 051/2093834 http://www.micro.deis.unibo.it/~campi/esd_2004

Dettagli

Sistemi di Elettronica Digitale, Sez.6

Sistemi di Elettronica Digitale, Sez.6 Sistemi di Elettronica Digitale, Sez.6 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Lunedì 16:30-18:30 Sistemi di elettronica digitale, A. Flammini,

Dettagli

Parte 2.c. Elaborazione: Hardware dedicato

Parte 2.c. Elaborazione: Hardware dedicato Parte 2.c Elaborazione: Hardware dedicato Facoltà di Ingegneria Università di Ferrara A.A. 2000/2001 1 Introduzione [DeMicheli-c1] 1.1 Generalità Motivazioni: Ottimizzazione delle prestazioni Riduzione

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Sistemi digitali. Sistema digitale

Sistemi digitali. Sistema digitale Sistemi digitali 2/ 7 Sistema digitale In un sistema digitale le informazioni vengono rappresentate, elaborate e trasmesse mediante grandezze fisiche (segnali) che si considerano assumere solo valori discreti

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

Capitolo 2 Tecnologie dei circuiti integrati 33

Capitolo 2 Tecnologie dei circuiti integrati 33 Indice Prefazione XIII Capitolo 1 Circuiti digitali 1 1.1 Introduzione 1 1.2 Discretizzazione dei segnali 4 1.3 L invertitore ideale 6 1.4 Porte logiche elementari 6 1.4.1 Porte elementari come combinazioni

Dettagli

Esercitazione di laboratorio n. 2

Esercitazione di laboratorio n. 2 Esercitazione di laboratorio n. 2 Argomento dell esercitazione Progetto di circuiti combinatori. L esercitazione è composta di tre esercizi: progetto di un Full Adder da 1 bit (esercizio 1), e suo riutilizzo

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A I SESSIONE - ANNO 2015 SEZIONE A- Settore Industriale

ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A I SESSIONE - ANNO 2015 SEZIONE A- Settore Industriale ESAMI DI STATO PER L'ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE SEZIONE A SEZIONE A- Settore Industriale PRIMA PROVA TRACCIA N. 1: Impianti chimici Il Candidato illustri le problematiche

Dettagli

ASIC e Tecnologie Microelettroniche

ASIC e Tecnologie Microelettroniche ASIC e Tecnologie Microelettroniche Ing. Fabrizio Innocenti CESVIT Microelettronica s.r.l. CESVIT Microelettronica 1 1 Introduzione L evoluzione tecnologica Fabbricazione e tecnologia IC CMOS Famiglie

Dettagli

A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be

A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be A.S. 2017/2018 PIANO DI LAVORO PREVENTIVO CLASSE 4Be Docenti Disciplina Cinzia Brunetto, Antonino Cacopardo SAE Sistemi Automatici Elettronici Competenze disciplinari di riferimento Il percorso formativo

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Livello logico digitale bus e memorie

Livello logico digitale bus e memorie Livello logico digitale bus e memorie Principali tipi di memoria Memoria RAM Memorie ROM RAM (Random Access Memory) SRAM (Static RAM) Basata su FF (4 o 6 transistor MOS) Veloce, costosa, bassa densità

Dettagli

Capitolo 1 Dispostivi Logici Programmabili Il progetto di sistemi digitali, no a non molti anni fa, era basato sull'utilizzo di circuiti logici standard a bassa ed a media scala di integrazione, della

Dettagli

Antonio D'Amore I CIRCUITI DI COMMUTAZIONE

Antonio D'Amore I CIRCUITI DI COMMUTAZIONE Antonio D'Amore I CIRCUITI DI COMMUTAZIONE INDICE CAPITOLO I - SISTEMI DI NUMERAZIONE E CODICI 1.1) Sistema di numerazione decimale. 1 1.2) Sistemi di numerazione a base qualsiasi. 1 1.3) Conversione tra

Dettagli

Traduzione ed Interpretazione

Traduzione ed Interpretazione Traduzione ed Interpretazione Queste sconosciute Siano L Linguaggio ad alto livello M L Macchina astratta di L M 0 Macchina ospite Implementazione interpretativa di L Implementazione compilativa di L Simulazione

Dettagli

Traduzione ed Interpretazione. Queste sconosciute

Traduzione ed Interpretazione. Queste sconosciute Traduzione ed Interpretazione Queste sconosciute Siano L Linguaggio ad alto livello M L M 0 Macchina astratta di L Macchina ospite Implementazione interpretativa di L Simulazione software di M L su M 0

Dettagli

Laboratorio di Elettronica Introduzione al VHDL

Laboratorio di Elettronica Introduzione al VHDL Laboratorio di Elettronica 1 Introduzione al VHDL HDL nel flusso di progettazione digitale Elementi base del VHDL Meccanismo di simulazione Meccanismo di sintesi 2 1 Organizzazione del corso Lezione 1:

Dettagli

Dispositivi per il controllo

Dispositivi per il controllo Dispositivi per il controllo ordini di comando PARTE DI COMANDO PARTE DI POTENZA Controllori monolitici Controllori con architettura a bus Controllori basati su PC informazioni di ritorno PLC (Programmable

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET)

Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET) PROGETTO DI RICERCA AMBIENTE DI SVILUPPO PER APPARATI DI PROCESSING PER IL BROADCASTING DIGITALE (ASAP) Report tecnico descrittivo delle metodologie individuate per lo sviluppo di IP (RT-MET) Partita IVA

Dettagli

Memorie a semiconduttore (1)

Memorie a semiconduttore (1) Elettronica II Corso di Laurea in Informatica Crema, 22 maggio 2002 (1) Department of Electrical Engineering The University of Texas at Dallas P.O. Box 830688 Richardson, Texas 75083 E-mail: stefano@utdallas.edu

Dettagli

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Tecnologie dei Sistemi di Automazione

Tecnologie dei Sistemi di Automazione Facoltà di Ingegneria Tecnologie dei Sistemi di Automazione Prof. Gianmaria De Tommasi Introduzione al tool UniSim Corso di Laurea Codice insegnamento Email docente Anno accademico Ingegneria dell automazione

Dettagli

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Design Methodologies. December 10, 2002

Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Design Methodologies. December 10, 2002 Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 Digital Circuit Implementation Approaches Custom Semicustom Cell-based Array-based Standard Cells Compiled Cells

Dettagli

Cos'e un linguaggio di programmazione?

Cos'e un linguaggio di programmazione? Linguaggi di Programmazione Corso di Laurea in Informatica Introduzione ai linguaggi di Valeria Carofiglio a.a. 2016-2017 (questo materiale è una rivisitazione del materiale prodotto da Nicola Fanizzi)

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

Elettronica delle telecomunicazioni 2 21/05/2004

Elettronica delle telecomunicazioni 2 21/05/2004 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti induttivi e capacitivi, maglie comuni

Dettagli

Memorie a semiconduttore

Memorie a semiconduttore Memoria centrale a semiconduttore (Cap. 5 Stallings) Architettura degli elaboratori -1 Pagina 209 Memorie a semiconduttore RAM Accesso casuale Read/Write Volatile Memorizzazione temporanea Statica o dinamica

Dettagli

1. Progettazione e realizzazione di ASIC.

1. Progettazione e realizzazione di ASIC. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 1-1 1. Progettazione e realizzazione di ASIC. Metodologie di approccio. I fattori che il progettista deve valutare,

Dettagli

Macchine Astratte. Nicola Fanizzi Dipartimento di Informatica Università degli Studi di Bari. Linguaggi di Programmazione feb, 2016

Macchine Astratte. Nicola Fanizzi Dipartimento di Informatica Università degli Studi di Bari. Linguaggi di Programmazione feb, 2016 Macchine Astratte Nicola Fanizzi Dipartimento di Informatica Università degli Studi di Bari Linguaggi di Programmazione 010194 29 feb, 2016 Sommario 1 Introduzione Macchina astratta Interprete Implementazione

Dettagli

Design for Testability (DFT): Scan

Design for Testability (DFT): Scan Design for Testability (DFT): Full-Scan Definizioni Metodi ad-hoc Scan design Regole di progetto Registri Flip-flops Scan test sequences Overhead Sistemi di progetto basati sulla scansione Sommario 1 Definizioni

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Laboratorio di elettronica 1

Laboratorio di elettronica 1 Laboratorio di elettronica 1 Laboratorio di elettronica 2 Laboratorio di elettronica 3 Tipologie di analisi tramite PSPICE Laboratorio di elettronica 4 Nella versione Limiti studente della del versione

Dettagli

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI CORSO DI ELETTRONICA DEI SISTEMI DIGITALI Capitolo 1 Porte logiche in tecnologia CMOS 1.0 Introduzione 1 1.1 Caratteristiche elettriche statiche di un transistore MOS 2 1.1.1 Simboli circuitali per un

Dettagli

CALCOLATORI ELETTRONICI

CALCOLATORI ELETTRONICI DIPARTIMENTO DI INGEGNERIA ELETTRICA ELETTRONICA E INFORMATICA Corso di laurea in Ingegneria informatica Anno accademico 2016/2017-3 anno CALCOLATORI ELETTRONICI 9 CFU - 1 semestre Docente titolare dell'insegnamento

Dettagli

Campionamento e memoria. Sommario. Sommario. M. Favalli

Campionamento e memoria. Sommario. Sommario. M. Favalli Sommario Campionamento e memoria M. Favalli Engineering epartment in Ferrara 2 Latch di tipo 3 Sommario (ENIF) Analisiesintesideicircuitidigitali / 29 (ENIF) Analisiesintesideicircuitidigitali 2 / 29 2

Dettagli

Testi del Syllabus. Docente DE MUNARI ILARIA Matricola:

Testi del Syllabus. Docente DE MUNARI ILARIA Matricola: Testi del Syllabus Docente DE MUNARI ILARIA Matricola: 004850 Anno offerta: 2013/2014 Insegnamento: 1004644 - ELEMENTI E LABORATORIO DI ELETTRONICA DIGITALE (1 MODULO) Corso di studio: 3050 - INGEGNERIA

Dettagli

Un quadro della situazione

Un quadro della situazione Reti logiche (1) Algebra booleana e circuiti combinatori 1 Un quadro della situazione In particolare gli argomenti qui trattati interessano ALU (Unità Aritmetico Logica) e CPU Elementi di memoria e progetto

Dettagli

Giovanni De Luca. Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata. Gli acronimi. www.altera.

Giovanni De Luca. Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata. Gli acronimi. www.altera. www.delucagiovanni.com Corsi, Forum, Docs robotics and programming Introduzione alle Logiche Programmabili CPLD e FPGA per la progettazione elettronica avanzata Istituto Nazionale di Fisica Nucleare -

Dettagli

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Latch di tipo SR sincronizzato. Latch di tipo SR

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Latch di tipo SR sincronizzato. Latch di tipo SR Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Dipartimento di Informatica Università degli Studi di Torino C.so Svizzera, 185 I-10149 Torino baldoni@di.unito.it http://www.di.unito.it/

Dettagli

UNIVERSITÀ DEGLI STUDI DI TRIESTE

UNIVERSITÀ DEGLI STUDI DI TRIESTE UNIVERSITÀ DEGLI STUDI DI TRIESTE Facoltà di Ingegneria Corso di Laurea Triennale in Ingegneria dell Informazione Curriculum Elettronica Relatore: Professore Boscolo Antonio Laureanda: Giovanna Bernardi

Dettagli

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2

Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione p. 2 Tecniche di Progettazione Digitale Richiami all algebra di Boole; domini di rappresentazione Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

Caratteristiche Area/Ritardo

Caratteristiche Area/Ritardo Caratteristiche Area/Ritardo Maurizio Palesi Maurizio Palesi 1 Motivazioni L ottimizzazione di un circuito comporta normalmente un compromesso tra: Prestazioni (ritardo di propagazione) Area (o costo)

Dettagli

Introduzione ai circuiti integrati

Introduzione ai circuiti integrati Introduzione ai circuiti integrati Lucidi del Corso di Circuiti Integrati Modulo 1 - Introduzione Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Dettagli

Porte logiche in tecnologia CMOS

Porte logiche in tecnologia CMOS Porte logiche in tecnologia CMOS Transistore MOS = sovrapposizione di strati di materiale con proprietà elettriche diverse tra loro (conduttore, isolante, semiconduttore) organizzati in strutture particolari.

Dettagli

Circuiti Integrati Architettura degli Elaboratori 1 A.A

Circuiti Integrati Architettura degli Elaboratori 1 A.A 1 Circuiti Integrati Architettura degli Elaboratori 1 A.A. 2002-03 03 Roberto Bisiani, 2000, 2001, 2002, Fabio Marchese 2003 25 marzo 2003 2 Circuiti integrati Costruzione di circuiti (logici e non) su

Dettagli

Come si progetta un circuito Perché simulare un circuito Cosa vuol dire simulare un circuito Il Simulatore Pspice Pacchetti che contiene Pspice

Come si progetta un circuito Perché simulare un circuito Cosa vuol dire simulare un circuito Il Simulatore Pspice Pacchetti che contiene Pspice 1 Come si progetta un circuito Perché simulare un circuito Cosa vuol dire simulare un circuito Il Simulatore Pspice Pacchetti che contiene Pspice Principio di funzionamento Che cosa è una NetList Fasi

Dettagli

Introduzione alle gerarchie di memoria

Introduzione alle gerarchie di memoria Introduzione alle gerarchie di memoria 1 Un ripasso Circuito sequenziale Segnale di clock Circuito sincrono Temporizzazione sensibile ai fronti Latch tipo S-R Latch tipo D Flip-flop tipo D Register file

Dettagli

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware Architetture BIST Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan Circular self-test path (CSTP) BIST Inizializzazione del circuito Loop-back hardware Inserimento di punti

Dettagli

Introduzione allo Studio dei Linguaggi di Programmazione. Corso di Linguaggi di Programmazione Informatica e Tecnologie Produzione Software

Introduzione allo Studio dei Linguaggi di Programmazione. Corso di Linguaggi di Programmazione Informatica e Tecnologie Produzione Software Introduzione allo Studio dei Linguaggi di Programmazione Corso di Linguaggi di Programmazione Informatica e Tecnologie Produzione Software Argomenti 2 Studiare i Linguaggi di Programmazione Domini Applicativi

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli