Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof."

Transcript

1 Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric

2 Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE: Analog Design Environment Spectre Electrical Simulator Layout Virtuoso Layout Editor Assura (Verification) Mentor Graphics Calibre (Verification) GDS File

3 Files forniti dalla Fonderia Technology File (TF): contiene le definizioni generali dei dispositivi presenti nel processo (layers di processo etc.) Component Library (schematic, symbol, layout) Component Models Rules (DRC, EXTRACT, ERC )

4 Library Manager Librerie distinte contengono le celle del processo (componenti: transistori, passivi etc.) e i progetti dell utente (organizzati come una gerarchia di celle) Librerie Celle Viste

5 Schematic Editor

6 Electrical Simulations ADE (Analog Design Environment)

7 Layout Design Una volta creato lo schema elettrico, è possibile trasferire tutti i componenti nel layout e procedere in modo manuale al posizionamento e alle interconnessioni.

8 Top Level Floorplan Analogico Vengono stimate le dimensioni dei singoli blocchi e si procede ad una prima disposizione dei blocchi stessi. Viene decisa la collocazione dei vari blocchi in funzione dell ingombro, dell impatto del rumore di substrato, della dissipazione di potenza. Si pianificano eventuali guardring di contatti di substrato per ridurre il cross-talking tra celle. Si predispongono le connessioni di gnd e alimentazione. Si aggiungono i PAD (Pad frame)

9 Flusso di Progetto Digitale A differenza del progetto analogico, il flusso digitale è estremamente automatizzato. VHDL RTL Simulazione CADENCE NC-Launch Sintesi Gate Level CADENCE RTL Compiler Place and Route CADENCE Encounter GDS File

10 Descrizione VHDL Il funzionamento della rete digitale è descritto utilizzando linguaggio ad alto livello che, in molti casi, viene scritta manualmente.

11 Strumenti di progetto digitale ad alto livello Celle Digitali Complesse (Filtri, DSP) possono essere psintetizzate utilizzando strumenti CAD di terze parti (per esempio MATLAB) che, opportunamente configurati, possono produrre la corrispondente descrizione VHDL.

12 Matlab: Esempio Codice VHDL generato da MATLAB

13 Matlab: progetto misto analogico (Simulink) e digitale Progetto di un ADC Sigma Delta

14 Sintesi e Place and Route: Standard Cells Files Contengono blocchi logici predefiniti, sia come schematico, sia come layout: Non sempre disponibili. Verilog Libraries: contiene una descrizione ad alto livello della cella (behavioral), comprensiva delle costanti di tempo (t s, t h, t hl, t lh, etc.) LEF (Library Excange Forrmat ) Files: contengono i layout delle celle, sono utilizzati per la generazione automatica del layout.

15 Flusso di progetto Mixed Signals (MS) Analog Centric Analog Schematic Design Import VHDL RTL MS Schematic MS Simulation Analog Layout ADE + AMS simulator Import Syntesys Place and Route Francesco Del Cesta - Analog Design - University of Pisa PRIME 2013

16 Simulazione Mixed Signal: Importazione di una cella VHDL nello schematic editor analogico entity xor2 is port ( a, b : in bit ; x : out bit ) ; end xor2 ; architecture semplice of xor2 is begin x <= a xor b ; end semplice ; Cell View Symbol Cell View semplice Descrizione VHDL Francesco Del Cesta - Analog Design - University of Pisa PRIME 2013

17 MS Simulation: MS Schematic view It is necessary to define a new cell view for the MS Schematic: The Config view For each cell (instance) inside the schematic, the config includes information about the view to be used in the simulations. Once opened by the ADE environment, the configs lets one choose the connect rules that define the electrical interface : -) Output High and Low levels (e.g. 3.3 V, 0 V) -) Input thresholds (e.g. Vdd/2) -) Output and Input resistance and capacitance. Francesco Del Cesta - Analog Design - University of Pisa PRIME 2013

18 Schematico Mixed-Signal Rete Analogica Rete Digitale

19 Simulazione Mixed Signal Segnale Digitale Segnale Analogico

20 Gate Level Netlist

21 Layout Automatico

22 Layout Automatico

23 Layout Automatico della cella digitale: risultato finale.

24 Layout Misto Il Layout della rete digitale è automaticamente aggiunto al layout della rete analogica come se fosse un componente analogico. Rimangono da effettuare il posizionamento (placing) e le interconnessioni (Routing), che, nell approccio analog centric, vengono eseguite manualmente. Rete Analogica Rete Digitale Rete Analogica Rete Digitale

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LS Bologna, 21 Novembre 2003 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LA Cesena, 4 Febbraio 2005 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A Technology Dependance Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Introduzione al linguaggio VHDL F.Campi A.a. 2004-2005 Design Iteration Semicustom Design Flow Pre-Layout

Dettagli

Flusso di progetto circuiti digitali

Flusso di progetto circuiti digitali Flusso di progetto circuiti digitali (anni 80/90) 1) Definizione Algoritmica : y=-x; 2) Schematic Entry 3) Custom Layout (place & route) 4) Parasitic extraction & Backannotation Il Design Productivity

Dettagli

Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Corso di Circuiti Integrati Anno Accademico 2016/2017

Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Corso di Circuiti Integrati Anno Accademico 2016/2017 Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Corso di Circuiti Integrati Anno Accademico 2016/2017 1 Introduzione In questo breve tutorial utilizzeremo la suite

Dettagli

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni Progetto di sistemi elettronici LA - esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni copie dei lucidi presentati a lezione breve guida all utilizzo di QUARTUS codici VHDL sommatore a 4

Dettagli

Computer Aided Design. Matteo Montani

Computer Aided Design. Matteo Montani Computer Aided Design Matteo Montani Sommario Gestione di progetti logici complessi Metodologie di progetto (sintesi - verifica) Strategie di implementazione di circuiti digitali - Full custom (layout)

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

VHDL come strumento di progetto di circuiti digitali. Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA

VHDL come strumento di progetto di circuiti digitali. Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA VHDL come strumento di progetto di circuiti digitali Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 fcampi@deis.unibo.it Tel. Interno 93834 Centro ARCES, Viale Pepoli 3/2 http://www.micro.deis.unibo.it/cgi

Dettagli

11. Flusso di progetto e CAD per PCB

11. Flusso di progetto e CAD per PCB 11. Flusso di progetto e CAD per PCB Viene di seguito brevemente descritto il flusso e gli strumenti tipici per il progetto di PCB a partire dalla descrizione dello schema elettrico del circuito da realizzare

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Introduzione ai circuiti integrati

Introduzione ai circuiti integrati Introduzione ai circuiti integrati Lucidi del Corso di Circuiti Integrati Modulo 1 - Introduzione Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Dettagli

Introduzione a HW/SW codesign

Introduzione a HW/SW codesign Introduzione a HW/SW codesign 1 Origini: sintesi dell HW La sintesi logica risulta essere relativamente assestata a partire dagli anni 90 Flusso di progetto: algoritmo => FSM => RTL=> equazioni booleane

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili.

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili. Di cosa parliamo in questa lezione? Lezione E5 Logiche In questa lezione si descrivono i dispositivi logici Logiche Sistemi embedded e real-time 2 Struttura degli 24 gennaio 23 3 Dipartimento di Ingegneria

Dettagli

F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4- Metodi di progetto digitale :» Sintesi di un filtro FIR, soluzioni SW e HW» Valutazione dei parametri

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Ingegneria dell Informazione F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

Ingegneria dell Informazione F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI F4- Metodi di progetto digitale :» Sintesi di un filtro FIR, soluzioni SW e HW» Valutazione dei parametri

Dettagli

Ingegneria dell Informazione D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

Ingegneria dell Informazione D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI D4- Metodi di progetto digitale :» Sintesi di un filtro FIR, soluzioni SW e HW» Valutazione dei parametri

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

VHDL: Simulazione & Sintesi

VHDL: Simulazione & Sintesi VHDL: Simulazione & Sintesi Politecnico di Milano 18 Dicembre 2012 M. CARMINATI mcarminati@elet.polimi.it SIMULAZIONE VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 2 TESTBENCH Dopo aver

Dettagli

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c. a.a.

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c. a.a. Productivity Trends 1 Logic Transistor per Chip (M) Complexity 10,000 1,000 100 10 1 0.1 0.01 Logic Tr./Chip Tr./Staff Month. x x x x x x x x 58%/Yr. compounded Complexity growth rate 21%/Yr. compound

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena Fabio Campi Aa 2003-2004 Elettronica dei Sistemi Digitali Fabio Campi, fcampi@deis.unibo.it (con parsimonia ) 051/2093834 http://www.micro.deis.unibo.it/~campi/esd_2004

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

VHDL come strumento di progetto di circuiti digitali

VHDL come strumento di progetto di circuiti digitali VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 1 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

Metodologie di progetto HW Livelli d astrazione

Metodologie di progetto HW Livelli d astrazione Metodologie di progetto HW Livelli d astrazione Diagramma Y Flusso di sintesi Livelli di astrazione Versione del 15/03/06 Domini di rappresentazione dei circuiti: funzionale strutturale e fisico Tecnologia

Dettagli

Full Custom Layout & Design Verification. Tools Virtuoso & Diva

Full Custom Layout & Design Verification. Tools Virtuoso & Diva Full Custom Layout & Design Verification Tools Virtuoso & Diva Virtuoso Layout Editor Il tool Virtuoso serve a disegnare il layout di una cella appartenente a una libreria Cadence (cellview layout) Per

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Tel: Laboratorio Micro (Ex Aula 3.2) Ricevimento: Giovedì

Tel: Laboratorio Micro (Ex Aula 3.2) Ricevimento: Giovedì DEIS University of Bologna Italy Progetto di circuiti analogici L-A Luca De Marchi Email: l.demarchi@unibo.it Tel: 051 20 93777 Laboratorio Micro (Ex Aula 3.2) Ricevimento: Giovedì 15.00-17.00 DEIS University

Dettagli

Tecniche Analogiche e tecniche Digitali

Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Da rappresentazione Analogica a Digitale Trattamento dell'informazione Esercizi riepilogativi 2 2005 Politecnico di Torino

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

CIRCUITI STAMPATI SHORT FORM

CIRCUITI STAMPATI SHORT FORM Finito il 17/02/2004 CIRCUITI STAMPATI SHORT FORM AUTORE: IW2FND Attolini Lucio Via XXV Aprile, 52/B 26037 San Giovanni in Croce (CR) attolucio@tin.it PCS_Short 1 1 DISEGNO DELLO SCHEMA... 3 2 LIBRERIA

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Exam of DIGITAL ELECTRONICS July 8 th, 2010 Prof. Marco Sampietro

Exam of DIGITAL ELECTRONICS July 8 th, 2010 Prof. Marco Sampietro N Exam of DIGITAL ELECTRONICS July 8 th, 2010 Prof. Marco Sampietro Matr. N NAME Digital memory design Si consideri una memoria FLASH come quella schematizzata nella figura seguente. Essa è realizzata

Dettagli

IC Test & Design for Testability

IC Test & Design for Testability IC Test & Design for Testability Collaudo (testing) dei sistemi digitali Realizzazione di sistemi digitali (VLSI) Collaudo e verifica Collaudo ideale e collaudo reale Costo del collaudo Ruolo del collaudo

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

Xilinx ISE Tutorial File -> New Project...

Xilinx ISE Tutorial File -> New Project... Xilinx ISE Tutorial L obiettivo di questo tutorial è il mappaggio di una semplice porta logica AND su una particolare FPGA, la Xilinx Spartan 3E, integrata nella board Digilent Nexys 2 500K, che utilizzeremo

Dettagli

Reti logiche A All. Informatici (M-Z)

Reti logiche A All. Informatici (M-Z) Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Simulazione Circuitale SPICE

Simulazione Circuitale SPICE Simulazione Circuitale SPICE Lucidi del Corso di Elettronica Digitale Modulo 6 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Simulazione

Dettagli

Un regolatore di tensione a controllo misto analogico/digitale.

Un regolatore di tensione a controllo misto analogico/digitale. Un regolatore di tensione a controllo misto analogico/digitale. Un esempio di progetto di un sistema di controllo analogico/numerico, della sua verifica e realizzazione mediante tecniche di Model-Based

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Simulazione SPICE. Simulazione Circuitale SPICE. Software commerciali. Design entry. Lucidi del Corso di Elettronica Digitale Modulo 6

Simulazione SPICE. Simulazione Circuitale SPICE. Software commerciali. Design entry. Lucidi del Corso di Elettronica Digitale Modulo 6 Simulazione SPICE Simulazione Circuitale SPICE Lucidi del Corso di Elettronica Digitale Modulo 6 SPICE è un software per la simulazione di circuiti a livello transistor diventato ormai lo standard, sviluppato

Dettagli

Sintesi logica: Strategie Alberto Scandurra

Sintesi logica: Strategie Alberto Scandurra Sintesi logica: Strategie Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy Passi di sintesi Traduzione del codice rtl in equazioni booleane

Dettagli

Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni. a.a.

Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni. a.a. 1 Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni Altro Materiale o In biblioteca e nel sito docente: breve guida all utilizzo di QUARTUS

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Exam of DIGITAL ELECTRONICS June 21 st, 2012 Prof. Marco Sampietro

Exam of DIGITAL ELECTRONICS June 21 st, 2012 Prof. Marco Sampietro Exam of DIGITAL ELECTRONICS June 21 st, 2012 Prof. Marco Sampietro Student I.D. number NAME Si consideri il circuito digitale combinatorio della figura seguente. La tensione d alimentazione è 5V e la soglia

Dettagli

Progettazione e sintesi di circuiti digitali

Progettazione e sintesi di circuiti digitali Progettazione e sintesi di circuiti digitali Lezione 24 settima esercitazione in laboratorio 13/04/2016 A. Neviani - P.S.C.D. Contenuti dell'esercitazione In questa esercitazione: eseguiremo la sintesi

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL Introduzione al linguaggio VHDL Il VHDL è un linguaggio per la sintesi e la simulazione di circuiti digitali, uno standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito

Dettagli

LAB2. Realizzare un sommatore ripple carry ad 8 bit con un design entry di tipo schematic

LAB2. Realizzare un sommatore ripple carry ad 8 bit con un design entry di tipo schematic LAB2 Realizzazione di sommatori tramite schematic, core generator, e componenti di libreria Creare un nuovo progetto Per creare un nuovo progetto: 1. Selezionare File -> New Project Nella finestra di dialogo

Dettagli

7. I linguaggi descrittivi HDL.

7. I linguaggi descrittivi HDL. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 7-1 7. I linguaggi descrittivi HDL. Introduzione. I linguaggi descrittivi HDL (Hardware Description Language) nascono

Dettagli

Lezione M1 - DDM

Lezione M1 - DDM Elettronica per le telematica FLUSSO DI PROGETTO DIGITALE TOP - DOWN Specifiche Unità M.: Progettazione Digitale e Linguaggi di Descrizione dell Hardware Progetto e Descrizione di Alto Livello Simulazione

Dettagli

Giancarlo Rini (rini) FPGA. 29 September 2011

Giancarlo Rini (rini) FPGA. 29 September 2011 Giancarlo Rini (rini) FPGA 29 September 2011 Con questa articolo si vuole dare una breve descrizione dell'architettura interna di una FPGA. Cercare di capire quali sono i pregi e i difetti di questo strumento

Dettagli

Oscillatore a microonde utilizzante. risonatore a tecnica FSS

Oscillatore a microonde utilizzante. risonatore a tecnica FSS Università di Pisa Facoltà di ingegneria Corso di laurea in ingegneria delle telecomunicazioni Oscillatore a microonde utilizzante risonatore a tecnica FSS Relatori : Prof. Ing. Monorchio Agostino Ing.

Dettagli

PROGETTO DIET+ SCHEDA DEI LABORATORI

PROGETTO DIET+ SCHEDA DEI LABORATORI PROGETTO DIET+ SCHEDA DEI LABORATORI Laboratorio di Microelettronica Analogica & Centro Studi G. Barzilai PERSONE Docenti: Alessandro Trifiletti, Giuseppe Scotti, Francesco Centurelli, Pasquale Tommasino

Dettagli

Design for Testablity

Design for Testablity Design for Testablity Introduzione Design for Testability ovvero progettazione finalizzata al collaudo è l insieme delle tecniche di progettazione che vengono usate per rendere possibile o comunque migliorare

Dettagli

17^ parte : Bluetooth Shield SLD63030P. Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO)

17^ parte : Bluetooth Shield SLD63030P. Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) 17^ parte : Bluetooth Shield SLD63030P Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) Bluetooth Shield SLD63030P Questo shield permette ad Arduino e schede Arduino-compatibili, di comunicare

Dettagli

Esercitazione di Elettronica Per la Telematica. Trasmettitore BPSK

Esercitazione di Elettronica Per la Telematica. Trasmettitore BPSK Esercitazione di Elettronica Per la Telematica Proff. C. Abbate, F. Iannuzzo - A.A. 2013/2014 Trasmettitore BPSK In questa esercitazione si realizzerà un trasmettitore BPSK. Il progetto da implementare

Dettagli

$ % 16 dicembre Giovanni Beltrame 2

$ % 16 dicembre Giovanni Beltrame 2 ! "# $ % Giovanni Beltrame 2 & VHDL è un linguaggio di descrizione dell hardware (Hardware Description Language) Per hardware si intendono diverse cose a seconda del contesto L hw si può descrivere a vari

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA)

Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Embedded and Reconfigurable Systems M 03 Field Programmable Gate Array (FPGA) Stefano Mattoccia, DISI - University of Bologna 1 Architettura di una FPGA Anche se in questo corso si adotterà una metodologia

Dettagli

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c

Productivity Trends. Livelli di astrazione di un sistema digitale. Complexity outpaces design productivity. q=a*b+c Productivity Trends Logic Transistor per Chip (M) Complexity 10,000 1,000 100 10 1 0.1 0.01 Logic Tr./Chip Tr./Staff Month. x x x x x x x x 58%/Yr. compounded Complexity growth rate 21%/Yr. compound Productivity

Dettagli

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro TUTORIAL 3 Realizzazione di un contatore su scheda XSA50 A cura di De Pin Alessandro 1 Problema proposto In questo tutorial ci si propone di realizzare un contatore che, associato ad un display a sette

Dettagli

Alessandro Gabrielli

Alessandro Gabrielli 1. Progetto VHDL e scalabilità (1024p 256p) 2. Simulatore/Debugger VHDL Emulatore chip 3. Proposta approccio layout Mixed-Mode Mode 3 FASI Alessandro Gabrielli 1. 1. Stato del progetto VHDL 1024p-256p

Dettagli

Progettazione e sintesi di circuiti digitali

Progettazione e sintesi di circuiti digitali Progettazione e sintesi di circuiti digitali Introduzione al corso a.a. 2015/2016 26/01/2015 A. Neviani - P.S.C.D. Informazioni generali docente: Andrea Neviani e-mail: neviani@dei.unipd.it tel.: 049 827

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni Introduzione Il VHDL e costituito da vari formati (types)ed operatori (operators) per consentire simulazione e sintesi a vari livelli Nel package STANDARD si trovano descritti quegli oggetti destinati

Dettagli

Introduzione a Electronic Design Automation. Tecnologie. Circuiti integrati digitali. Sommario. M. Favalli. Tecnologia planare del silicio

Introduzione a Electronic Design Automation. Tecnologie. Circuiti integrati digitali. Sommario. M. Favalli. Tecnologia planare del silicio Sommario Introduzione a Electronic Design Automation 1 M. Favalli Engineering Department in Ferrara 2 (ENDIF) Introduzione a EDA PASD 1 / 36 Circuiti integrati digitali Tecnologie (ENDIF) Introduzione

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

3.5 Esercizi con strumenti automatici

3.5 Esercizi con strumenti automatici Algebra di commutazione 75 3.5 Esercizi con strumenti automatici Per esemplificare i concetti descritti in questo capitolo si consideri l esempio di un circuito che esegue la somma di numeri interi in

Dettagli

Circuiti integrati semi-custom

Circuiti integrati semi-custom Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Circuiti integrati semi-custom g Gate array gcomponent array gstandard cell g PLD Introduzione Qualsiasi sistema digitale

Dettagli

Simulazione Spice. Simulazione Circuitale Spice. Netlist. Netlist

Simulazione Spice. Simulazione Circuitale Spice. Netlist. Netlist Simulazione Spice Simulazione Circuitale Spice Lucidi del Corso di Elettronica Digitale Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015 Appello d esame del 17/6/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL a.a

BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL a.a Introduzione BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL a.a 2010-2011 QUARTUS è un tool utilizzabile per effettuare, nell ambito della progettazione di circuiti digitali:

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

INTRODUZIONE AD OMNET++

INTRODUZIONE AD OMNET++ INTRODUZIONE AD OMNET++ Omnet++ OMNET++ è una piattaforma di simulazione : È utile per: Modulare: gerarchia di moduli Ad eventi Orientata agli Oggetti (in C++) Open Source Versione comm. OMNEST analizzare

Dettagli

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a 1 Terza esercitazione Progetto di una rete di controllo Obiettivi Progetto e analisi di macchine a stati finiti 2 Macchina a Stati Finiti (FSM) Z(n)=f(x(n)) => Sistema Combinatorio Z(n)=f(x(n),x(n-1),x(n-2),..)

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli

Elettronica per la Telematica (01EJJEB)

Elettronica per la Telematica (01EJJEB) Elettronica per la Telematica (01EJJEB) L3 in Telematica, III anno, III pd, sede di Mondovì, AA 05-06 Orario: 4 + 4 (+ 4) ore sett. Ma 9-13, (Ma 14-18), Me 9-13 Contenuti dettagliati, suddivisione tra

Dettagli

Università di Pisa. Progetto di un moltiplicatore analogico CMOS

Università di Pisa. Progetto di un moltiplicatore analogico CMOS Università di Pisa Facoltà di Ingegneria Corso di Laurea in Ingegneria Elettronica Tesi di Laurea Specialistica Progetto di un moltiplicatore analogico CMOS Candidato: Marco Sammartano Relatore: Prof.

Dettagli

Modelli VHDL per simulazioni a basso livello

Modelli VHDL per simulazioni a basso livello Modelli VHDL per simulazioni a basso livello Per simulare reti il cui comportamento non può essere descritto in termini puramente logici (0, 1) il VHDL mette a disposizione la possibilità descrivere insiemi

Dettagli

Analisi, Descrizione e Implementazione di un Architettura FILTRO FIR a Coefficienti Variabili, di Alessandro Paghi e Lorenzo De Marinis.

Analisi, Descrizione e Implementazione di un Architettura FILTRO FIR a Coefficienti Variabili, di Alessandro Paghi e Lorenzo De Marinis. Analisi, Descrizione e Implementazione di un Architettura FILTRO FIR a Coefficienti Variabili, di Alessandro Paghi e Lorenzo De Marinis. Fase di Analisi Pre Descrizione Strumenti utilizzati: - MATLAB R2014a;

Dettagli

Sistemi Misti Analogico-Digitali in SystemC: SystemC-WMS

Sistemi Misti Analogico-Digitali in SystemC: SystemC-WMS Sistemi Misti Analogico-Digitali in SystemC: Simone Orcioni Giorgio Biagetti, Massimo Conti DEIT, Dipartimento di Elettronica, Intelligenza artificiale e Telecomunicazioni Università Politecnica delle

Dettagli

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI CORSO DI ELETTRONICA DEI SISTEMI DIGITALI Capitolo 1 Porte logiche in tecnologia CMOS 1.0 Introduzione 1 1.1 Caratteristiche elettriche statiche di un transistore MOS 2 1.1.1 Simboli circuitali per un

Dettagli

ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300

ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300 ARCHITETTURA DEI DISPOSITIVI FPGA ALTERA MAX300 A.Bonomo, F.Campi Descrizione funzionale delle MAX3000 L architettura MAX3000A include i seguenti elementi: LAB (Blocchi di Array logici); Macrocelle; Expander

Dettagli

Progettazione Analogica e Blocchi Base

Progettazione Analogica e Blocchi Base Progettazione Analogica e Blocchi Base Lucidi del Corso di Microelettronica Modulo 3 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Flusso

Dettagli

Progettazione e sintesi di circuiti digitali Lezione 1

Progettazione e sintesi di circuiti digitali Lezione 1 Università di Padova - DEI Progettazione e sintesi di circuiti digitali Lezione 1 Introduzione ai sistemi elettronici ad alta integrazione Componenti di un sistema ad alta integrazione Cos è un ASIC Metodi

Dettagli