VHDL: Simulazione & Sintesi

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "VHDL: Simulazione & Sintesi"

Transcript

1 VHDL: Simulazione & Sintesi Politecnico di Milano 18 Dicembre 2012 M. CARMINATI

2 SIMULAZIONE VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 2

3 TESTBENCH Dopo aver progettato un componente, è importante verificare che il suo funzionamento sia corretto, cioè che produca i risultati attesi La verifica viene svolta simulando il componente in un ambiente controllato chiamato testbench Un testbench permette di: Produrre stimoli per gli ingressi del circuito Propagare questi stimoli al circuito Confrontare il risultato ottenuto con quello atteso VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 3

4 TESTBENCH Possiamo utilizzare il linguaggio VHDL anche per descrivere i testbench Un testbench in VHDL si compone semplicemente di una entity e di una architecture aggiuntiva Non può essere sintetizzato, ma serve solo per verificare il comportamento del componente in simulazione VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 4

5 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; architecture arch of testbench is -- Dichiaro il componente che deve essere testato component datestare port( i1, i2,..., in: in...; o1, o2,..., on: out...; ); end component; -- Inputs signal i1, i2,..., in :... ;! -- Outputs signal o1, o2,..., on :... ; -- Definizione del periodo di clock constant clk_period : time := 10 ns; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 5

6 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; entity testbench Dichiaro una entity vuota: il mio nuovo componente non ha alcuna interfaccia verso l esterno architecture arch of testbench is -- Dichiaro il componente che deve essere testato component datestare port( i1, i2,..., in: in...; o1, o2,..., on: out...; ); end component; -- Inputs signal i1, i2,..., in :... ;! -- Outputs signal o1, o2,..., on :... ; -- Definizione del periodo di clock constant clk_period : time := 10 ns; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 6

7 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; architecture che realizza l entity testbench descritta in modo strutturale architecture arch of testbench is -- Dichiaro il componente che deve essere testato component datestare port( i1, i2,..., in: in...; o1, o2,..., on: out...; ); end component; -- Inputs signal i1, i2,..., in :... ;! -- Outputs signal o1, o2,..., on :... ; -- Definizione del periodo di clock constant clk_period : time := 10 ns; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 7

8 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; architecture che realizza l entity testbench descritta in modo strutturale architecture arch of testbench is -- Dichiaro il componente che deve essere testato component datestare port( i1, i2,..., in: in...; o1, o2,..., on: out...; ); dichiaro come component il mio componente da testare end component; -- Inputs signal i1, i2,..., in :... ;! -- Outputs signal o1, o2,..., on :... ; -- Definizione del periodo di clock constant clk_period : time := 10 ns; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 8

9 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; architecture che realizza l entity testbench descritta in modo strutturale architecture arch of testbench is -- Dichiaro il componente che deve essere testato component datestare port( i1, i2,..., in: in...; o1, o2,..., on: out...; ); end component; -- Inputs signal i1, i2,..., in :... ;! -- Outputs signal o1, o2,..., on :... ; -- Definizione del periodo di clock dichiaro come component il mio componente da testare dichiaro un segnale interno signal per ogni segnale presente nell interfaccia del componente datestare constant clk_period : time := 10 ns; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 9

10 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; architecture arch of testbench is -- Dichiaro il componente che deve essere testato component datestare port( i1, i2,..., in: in...; o1, o2,..., on: out...; ); end component; -- Inputs signal i1, i2,..., in :... ;! -- Outputs signal o1, o2,..., on :... ; Definizione del periodo di clock tramite una costante di tipo time inizializzata a 10 ns constant nome: tipo; -- Definizione del periodo di clock constant clk_period : time := 10 ns; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 10

11 begin! -- Istanzio il componente che deve essere testato test: datestare port map (... ); -- Processo di clock clk_process: process begin!! clk <= '0';!! wait for clk_period/2;!! clk <= '1';!! wait for clk_period/2; Il componente datestare deve essere istanziato nella parte funzionale dell architecture Mappo i segnali dichiarati in precedenza con quelli presenti all interfaccia del componente end process; -- Processo di generazione degli stimoli stim_proc: process begin!! -- Genero gli stimoli qui, facendo uso dell istruzione -- wait for per gestire la temporizzazione!! ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 11

12 begin! -- Istanzio il componente che deve essere testato test: datestare port map (... ); -- Processo di clock clk_process: process begin process che realizza il clock per il componente da testare. Poichè la sensitivity_list è vuota, il processo viene risvegliato continuamente!! clk <= '0';!! wait for clk_period/2;!! clk <= '1';!! wait for clk_period/2; end process; L istruzione - wait for valore - fa si che il componente resti in attesa per la quantità di tempo specificata -- Processo di generazione degli stimoli stim_proc: process begin!! -- Genero gli stimoli qui, facendo uso dell istruzione -- wait for per gestire la temporizzazione!! ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 12

13 begin! -- Istanzio il componente che deve essere testato test: datestare port map (... ); -- Processo di clock clk_process: process begin!! clk <= '0';!! wait for clk_period/2;!! clk <= '1';!! wait for clk_period/2; Il secondo process genera gli stimoli da applicare agli ingressi del componente datestare end process; -- Processo di generazione degli stimoli stim_proc: process begin!! -- Genero gli stimoli qui, facendo uso dell istruzione -- wait for per gestire la temporizzazione!! ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 13

14 begin! -- Istanzio il componente che deve essere testato test: datestare port map (... ); -- Processo di clock clk_process: process begin!! clk <= '0';!! wait for clk_period/2;!! clk <= '1';!! wait for clk_period/2; end process; L ultima istruzione del process deve essere una ASSERT che termina l esecuzione e la simulazione -- Processo di generazione degli stimoli stim_proc: process begin!! -- Genero gli stimoli qui, facendo uso dell istruzione -- wait for per gestire la temporizzazione!! ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 14

15 TESTBENCH Il simulatore mostra testbench le forme d onda in modo che possano essere inspezionate dal progettista Questa fase può Genera e applica gli stimoli i1 i2 in clk... datestare i1 i2 in clk rst o1 o2 on... o1 o2 on Legge e verifica i risultati essere automatizzata rst VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 15

16 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; architecture arch of testbench is -- Dichiaro il componente che deve essere testato component controllore_semaforico port( clk : in std_logic; reset : in std_logic; amb : in std_logic; p : out std_logic; s : out std_logic ); end component; -- Dichiarazione dei segnali come da interfaccia signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal amb : std_logic := '0'; signal p : std_logic; signal s : std_logic; -- Definizione del periodo di clock constant clk_period : time := 10 ns; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 16

17 library ieee; use ieee.std_logic_1164.all; entity testbench is end testbench; architecture arch of testbench is -- Dichiaro il componente che deve essere testato component controllore_semaforico port( clk : in std_logic; reset : in std_logic; amb : in std_logic; p : out std_logic; s : out std_logic ); end component; All interno dell architecture del testbench dichiaro il componente da testare e i segnali corrispondenti a quelli presenti nell interfaccia del componente stesso -- Dichiarazione dei segnali come da interfaccia signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal amb : std_logic := '0'; signal p : std_logic; signal s : std_logic; -- Definizione del periodo di clock constant clk_period : time := 10 ns; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 17

18 begin! -- Istanzio il componente che deve essere testato test: controllore_semaforico port map ( clk => clk, reset => reset, amb => amb, p => p, s => s ); -- Processo di clock clk_process: process begin!! clk <= '0';!! wait for clk_period/2;!! clk <= '1';!! wait for clk_period/2; end process; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 18

19 begin! -- Istanzio il componente che deve essere testato test: controllore_semaforico port map ( clk => clk, reset => reset, amb => amb, p => p, ); s => s -- Processo di clock Tutto uguale a prima: completo il mapping delle porte dopo aver istanziato il componente clk_process: process begin!! clk <= '0';!! wait for clk_period/2;!! clk <= '1';!! wait for clk_period/2; end process; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 19

20 -- Processo di generazione degli stimoli stim_proc: process begin!! reset <='1';!! amb <='0';!! wait for clk_period;! reset<='0';!! wait for clk_period*10;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*8;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*10;!! ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 20

21 -- Processo di generazione degli stimoli stim_proc: process begin!! reset <='1';!! amb <='0';!! wait for clk_period;! Inizializzazione del componente reset<='0';!! wait for clk_period*10;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*8;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*10;!! ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 21

22 -- Processo di generazione degli stimoli stim_proc: process begin!! reset <='1';!! amb <='0';!! wait for clk_period;! Inizializzazione del componente reset<='0';!! wait for clk_period*10;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*8;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*10;!! Due cicli di funzionamento nominale ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 22

23 -- Processo di generazione degli stimoli stim_proc: process begin!! reset <='1';!! amb <='0';!! wait for clk_period;! Inizializzazione del componente reset<='0';!! wait for clk_period*10;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*8;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*10;!! Due cicli di funzionamento nominale amb interrompe il verde su p ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 23

24 -- Processo di generazione degli stimoli stim_proc: process begin!! reset <='1';!! amb <='0';!! wait for clk_period;! Inizializzazione del componente reset<='0';!! wait for clk_period*10;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*8;!! amb<='1'; wait for clk_period;!! amb<='0'; wait for clk_period*10;!! Due cicli di funzionamento nominale amb interrompe il verde su p amb interrompe il verde su s ASSERT(FALSE) REPORT "Simulation Succesfull." SEVERITY FAILURE; end process; end; VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 24

25 Controllore Semaforico VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 25

26 Controllore Semaforico La prima volta che amb assume valore 1 anche p vale 1: dopo un ciclo di clock in cui p e s valgono 0 il ciclo ricomincia da s. VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 26

27 Controllore Semaforico La prima volta che amb assume valore 1 anche p vale 1: dopo un ciclo di clock in cui p e s valgono 0 il ciclo ricomincia da s. La seconda volta amb interrompe il verde su s: il ciclo ricomincia da p, come ci si aspetta. VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 27

28 SINTESI & IMPLEMENTAZIONE VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 28

29 SINTESI & IMPLEMENTAZ. sintesi logica.vhd technology mapping place & route masks bitstream generation generation ASIC VHDL: Simulazione & Sintesi - M. Carminati FPGA Politecnico di Milano 29

30 SINTESI Tutte le istruzioni che abbiamo visto finora possono essere simulate, solo alcune possono essere sintetizzate Non possono essere sintetizzati i tipi di dato floating point, le istruzioni sui file, i ritardi di porta (after), le istruzioni wait,... I segnali di tipo std_logic quando sintetizzati possono assumere solo i valori 0 e 1 VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 30

31 TOOL VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 31

32 TOOL Xilinx ISE WebPACK Design Software Al termine dell installazione selezionare Free or Evaluation Product License versione limitata, ma gratuita del software, sufficiente per svilluppare gli homeworks. VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 32

33 HOMEWORKS Possibilità di punti aggiuntivi: homeworks sul VHDL FACOLTATIVI 2 progettini da svolgere - MAX 2 punti (indicativamente da sommare al voto d esame prima dell arrotondamento) per ognugno viene richiesta la scrittura del codice VHDL e la stesura di un report Nessuna penalità in caso di non completamento o insufficienza del lavoro VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 33

34 HOMEWORKS Per ognuno dei due homework dovete consegnare: file.vhd del componente file.vhd del testbench report.pdf (4/5 pagine) con descrizione delle scelte progettuali (schematico e descrizione dei componenti - FSM, codifica degli stati e modello di Huffman) e commento dei risultati di simulazione VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 34

35 HOMEWORKS Scadenze: 18/12/2012: richiesta homeworks via mail a mcarminati@elet.polimi.it con tag [RL-homeworks] specificando nome, cognome e matricola 25/12/2012: entro questa data riceverete il I homework 06/01/2013: consegna I homework sempre via mail a mcarminati@elet.polimi.it con tag [RL-homework1] 07/01/2013: invio II homework 27/01/2013: consegna II homework sempre via mail a mcarminati@elet.polimi.it con tag [RL-homework2] Inizio Febbraio: discussione e valutazione degli homework VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 35

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 VHDL Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di

Dettagli

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a 1 Terza esercitazione Progetto di una rete di controllo Obiettivi Progetto e analisi di macchine a stati finiti 2 Macchina a Stati Finiti (FSM) Z(n)=f(x(n)) => Sistema Combinatorio Z(n)=f(x(n),x(n-1),x(n-2),..)

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

$ % 16 dicembre Giovanni Beltrame 2

$ % 16 dicembre Giovanni Beltrame 2 ! "# $ % Giovanni Beltrame 2 & VHDL è un linguaggio di descrizione dell hardware (Hardware Description Language) Per hardware si intendono diverse cose a seconda del contesto L hw si può descrivere a vari

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Progetto finale di reti logiche

Progetto finale di reti logiche Progetto finale di reti logiche (AGGIORNATO AL 13 Marzo 2018) Si vuole implementare un componente HW descritto in VHDL che, data un immagine in scala di grigi in un formato descritto successivamente, calcoli

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Corso di Reti Logiche A

Corso di Reti Logiche A Politecnico di Milano Reti Logiche A Corso di Reti Logiche A Introduzione al VHDL - Una prima presentazione - VHSIC-HDL HDL Very High Speed Integrated Circuit - Hardware Description Language Marco D. Santambrogio:

Dettagli

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr;

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; Modello sequenziale: i Process Modello sequenziale ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; ARCHITECTURE seq OF ffsr IS ( r PROCESS(s, IF s = 1 AND r = 0 THEN q

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni Introduzione Il VHDL e costituito da vari formati (types)ed operatori (operators) per consentire simulazione e sintesi a vari livelli Nel package STANDARD si trovano descritti quegli oggetti destinati

Dettagli

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned. ---- registro con reset e enable use IEEE.std_logic_unsigned.all; --Registro con reset sincrono e enable entity REGISTRO is port (CLK, RESET, ENABLE D Q : out std_logic end REGISTRO; architecture behavioral

Dettagli

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni Progetto di sistemi elettronici LA - esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni copie dei lucidi presentati a lezione breve guida all utilizzo di QUARTUS codici VHDL sommatore a 4

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Reti Logiche A II Prova - 11 febbraio 2008

Reti Logiche A II Prova - 11 febbraio 2008 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - febbraio 008 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Introduzione al VHDL Lezione 2

Introduzione al VHDL Lezione 2 Introduzione al VHDL Lezione 2 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS Il VHDL 3 parte Tipi Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS 1 Definizione di un nuovo tipo TYPE Nome_tipo IS Definizione_tipo;

Dettagli

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07 UsodelVHDL Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Architettureeretilogiche VHDL UsodelVHDL

Dettagli

VHDL come strumento di progetto di circuiti digitali

VHDL come strumento di progetto di circuiti digitali VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 1 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

Reti Logiche A. FSM in VHDL

Reti Logiche A. FSM in VHDL Reti Logiche A FSM in VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro TUTORIAL 3 Realizzazione di un contatore su scheda XSA50 A cura di De Pin Alessandro 1 Problema proposto In questo tutorial ci si propone di realizzare un contatore che, associato ad un display a sette

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

MPHS AA FSM in VHDL

MPHS AA FSM in VHDL MPHS AA 28-29 FSM in VHDL Cristina Silvano Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: silvano@elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE LOGIC RST

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

orologio e display cont 16

orologio e display cont 16 Tocci giovanni orologio e display obiettivo: costruzione di un orologio che sappia tenere in conto minuti e secondi. specifiche di progetto: Il nostro orologio, sarà costituito da : divisore di frequenza.

Dettagli

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language Introduzione al VHDL Corso introduttivo al VHDL Giovanni De Luca VHDL VHDL è l acronimo di VHSIC Hardware Description Language VHSIC è l acronimo di Very High Speed Integrated Circuit La storia Il VHDL

Dettagli

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore)

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore) Riepilogo su FSM Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara FSM: i) insieme finito di simboli di ingresso; ii) insieme finito di simboli di uscita; iii) un

Dettagli

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Linguaggi di descrizione dell hardware Progetti a.a. 2016/17

Linguaggi di descrizione dell hardware Progetti a.a. 2016/17 Linguaggi di descrizione dell hardware Progetti a.a. 2016/17 I progetti vengono assegnati dal docente sulla base delle preferenze degli studenti. Si raccomanda di inserire come soggetto in qualsiasi mail

Dettagli

Calcolatori Elettronici M Modulo 2. 04 - Mapping di un progetto VHDL su FPGA

Calcolatori Elettronici M Modulo 2. 04 - Mapping di un progetto VHDL su FPGA Calcolatori Elettronici M Modulo 2 04 - Mapping di un progetto VHDL su FPGA In collaborazione con: Davide Nanni*, Andrea Bucaletti e Domenico Di Carlo 1 L obiettivo di questa lezione è mostrare come sia

Dettagli

Sequential Processing

Sequential Processing Sequential Processing Istruzioni sequenziali: comandi eseguiti in maniera seriale, uno dopo l'altro (comune ai linguaggi di programmazione) TUTTE le istruzioni in una architecturesono concorrenti (vengono

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LA Cesena, 4 Febbraio 2005 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena Fabio Campi Aa 2003-2004 Elettronica dei Sistemi Digitali Fabio Campi, fcampi@deis.unibo.it (con parsimonia ) 051/2093834 http://www.micro.deis.unibo.it/~campi/esd_2004

Dettagli

Progettazione di circuiti digitali e linguaggio VHDL

Progettazione di circuiti digitali e linguaggio VHDL Progettazione di circuiti digitali e linguaggio VHDL Sergio Ricciarini ~ INFN Firenze 2008-11-19 Sommario Circuiti digitali integrati. Linguaggio VHDL: compilazione e verifica del progetto; come progettare

Dettagli

Riassunto tecnica digitale

Riassunto tecnica digitale Introduzione... 2 Operazioni... 4 OR...4 AND...4 XOR...5 Operatori logici... 5 Negazione... 6 Ottimizzare mediante il teorema di De Morgan. 7 VHDL...8 Contatori...10 Multiplexer... 11 Demultiplexer...12

Dettagli

DESCRIZIONE DEL FUNZIONAMENTO

DESCRIZIONE DEL FUNZIONAMENTO I FLIP FLOP 1.1. Flip Flop Set Reset In figura è rappresentato un f/f set reset con porte NAND. Si tratta del blocco fondamentale alla base di tutti i tipi di F/F. Tabella di verità del Flip Flop Set Reset

Dettagli

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package VHDL Testbenches La libreria IEEE La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench La libreria IEEE La libreria standard IEEE è composta 6 package std_logic_1164 std_logic_arith

Dettagli

Domande di Reti Logiche compito del 6/6/2017

Domande di Reti Logiche compito del 6/6/2017 Barrare una sola risposta per ogni domanda Il punteggio finale è - (n. di risposte errate + n. domande lasciate in bianco) Usare lo spazio bianco sul retro del foglio per appunti, se serve Sia dato un

Dettagli

BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL

BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL Introduzione QUARTUS è un tool utilizzabile per effettuare, nell ambito della progettazione di circuiti digitali: Descrizione a

Dettagli

TUTORIAL 4. Realizzazione di un cronometro su scheda XSA50. A cura di De Pin Alessandro

TUTORIAL 4. Realizzazione di un cronometro su scheda XSA50. A cura di De Pin Alessandro TUTORIAL 4 Realizzazione di un cronometro su scheda XSA50 A cura di De Pin Alessandro 1 Problema proposto In questo tutorial si propone di realizzare un semplice cronometro, controllato da un tasto che

Dettagli

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano 1 Aritmetica frazionaria e fixed point Nella maggior parte delle applicazioni i numeri reali sono approssimati con numeri binari che ne rappresentano la parte intera e quella frazionaria. Il numero di

Dettagli

Nonostante l avvento delle più moderne

Nonostante l avvento delle più moderne di terminati ad entrambe le estremità con un impedenza di questo valore. Come già detto se si vuole ottenere la massima luminosità di uno dei segnali di colore occorre fornire circa 0.7V. Per fare questo

Dettagli

BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL a.a

BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL a.a Introduzione BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL a.a 2010-2011 QUARTUS è un tool utilizzabile per effettuare, nell ambito della progettazione di circuiti digitali:

Dettagli

Descrizioni VHDL Behavioral

Descrizioni VHDL Behavioral 1 Descrizioni VHDL Behavioral In questo capitolo vedremo come la struttura di un sistema digitale è descritto in VHDL utilizzando descrizioni di tipo comportamentale. Outline: process wait statements,

Dettagli

Reti logiche A All. Informatici (M-Z)

Reti logiche A All. Informatici (M-Z) Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Ottava esercitazione. Soluzione prova d esame 14 Settembre a.a

Ottava esercitazione. Soluzione prova d esame 14 Settembre a.a 1 Ottava esercitazione Soluzione prova d esame 14 Settembre 2010 Un sistema a FPGA, funzionante a 1 KHz, è utilizzato per controllare il sistema frenante di una automobile dotata di ABS (Anti Block System)

Dettagli

Linguaggi di descrizione dell hardware Progetti a.a. 2018/19 Lista provvisoria e incompleta

Linguaggi di descrizione dell hardware Progetti a.a. 2018/19 Lista provvisoria e incompleta Linguaggi di descrizione dell hardware Progetti a.a. 2018/19 Lista provvisoria e incompleta I progetti vengono assegnati dal docente sulla base delle preferenze degli studenti. Si raccomanda di inserire

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL Introduzione al linguaggio VHDL Il VHDL è un linguaggio per la sintesi e la simulazione di circuiti digitali, uno standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito

Dettagli

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) 18 settembre 2003 Prova del 9 giugno 2003 Descrizione VHDL (a scelta, dataflow o comportamentale) di un decoder per il

Dettagli

Esercitazione sul programma XILINX ISE 4.2i.

Esercitazione sul programma XILINX ISE 4.2i. Esercitazione sul programma XILINX ISE 4.2i. Obbiettivo di questa esercitazione è fornire una panoramica del pacchetto di sviluppo ISE 4.2i della Xilinx. Nel prosieguo saranno descritti i passi base di

Dettagli

Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni. a.a.

Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni. a.a. 1 Introduzione al linguaggio VHDL e al programma di simulazione e sintesi logica su FPGA utilizzato nelle esercitazioni Altro Materiale o In biblioteca e nel sito docente: breve guida all utilizzo di QUARTUS

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware

Cos è il VHDL. Il VHDL è un linguaggio standard per la descrizione dell hardware Cos è il VHDL Il VHDL è un linguaggio standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito di un progetto del dipartimento della difesa statunitense denominato VHSIC (Very

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

La descrizione ai terminali dell unità di controllo è la seguente:

La descrizione ai terminali dell unità di controllo è la seguente: Elettronica dei Sistemi Digitali Linguaggi di Descrizione Hardware - Prova d esame 5 Luglio 2004 Come tutti ben sanno un tachimetro da bicicletta misura la velocità e la distanza percorsi dal velocipede

Dettagli

Sesta esercitazione. Soluzione prova d esame 11 Dicembre a.a

Sesta esercitazione. Soluzione prova d esame 11 Dicembre a.a 1 Sesta esercitazione Soluzione prova d esame 11 Dicembre 2010 Un sistema a FPGA, funzionante a 1 KHz, è utilizzato per controllare il posizionamento di un pannello solare fotovoltaico. In particolare

Dettagli

Reti Logiche A Esame del 14 febbraio 2006

Reti Logiche A Esame del 14 febbraio 2006 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Esercizio n. Eseguire la generazione degli implicanti primi con il metodo di Quine McCluskey

Dettagli

Addizione tra numeri binari

Addizione tra numeri binari Addizione tra numeri binari A=a n-1 a n-2...a i...a 0 B=b n-1 b n-2...b i...b 0 s i =a i b i c in c out =a i b i + a i c in + b i c in a i b i FA c out c in S=s n s n-1 s n-2...s i...s 0 s i a n 1 b n

Dettagli

VHDL. Il linguaggio FOCUS

VHDL. Il linguaggio FOCUS ON Il linguaggio VHDL di Antonio Di Stefano Il linguaggio VHDL consente di descrivere ed implementare circuiti complessi utilizzando tecnologie quali FPGA, CPLD, ASIC e structured ASIC. In questo articolo

Dettagli

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30 Sistemi per la Progettazione Automatica Informatica - Tiziano Villa 17 Marzo 2008 Nome e Cognome: Matricola: Posta elettronica: problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3

Dettagli

Progettazione di circuiti digitali e linguaggio VHDL

Progettazione di circuiti digitali e linguaggio VHDL Progettazione di circuiti digitali e linguaggio VHDL Sergio Ricciarini ~ INFN Firenze Corso di Elettronica Generale I Laurea Magistrale in Scienze Fisiche e Astrofisiche Università di Firenze 2011-11-28

Dettagli

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2 Calcolatori Elettronici M Modulo 2 02 - Linguaggio VHDL Parte 1/2 1 Caratteristiche dei linguaggi HDL Gli HDL, essendo linguaggio di programmazione finalizzati a modellare l HARDWARE, presentano delle

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici UNIVERSITÀ DEGLI STUDI DI UDINE Facoltà di Ingegneria Corso di Laurea Specialistica in Ingegneria Gestionale dell Informazione Dipartimento di Ingegneria Elettrica, Gestionale e Meccanica Calcolatori Elettronici

Dettagli

Christian Pilato

Christian Pilato Politecnico di Milano Introduzione al VHDL Christian Pilato pilato@elet.polimi.it Sommario Introduzione Struttura di un modello Interfaccia Funzionalità Concetti base Livelli di astrazione Concorrenza

Dettagli

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management Descrizione di Macchine a Stati finiti in VHDL Descrizioni di Macchine a Stati finiti in VHDL In questa lezione vedremo come un sistema digitale sequenziale può essere descritto in VHDL. Outline: Macchine

Dettagli

Domande di Reti Logiche compito del 18/07/2017

Domande di Reti Logiche compito del 18/07/2017 Se in una mappa di Karnaugh alcuni zeri vengono sostituiti da non-specificati, il costo della sintesi di costo minimo in forma SP della rete corrispondente: Non può aumentare Non può diminuire Barrare

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL

BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL BREVE GUIDA ALL' UTILIZZO DI QUARTUS II PER LO SVILUPPO DI PROGETTI VHDL Introduzione...3 1. CREAZIONE PROGETTO...3 2. SINTESI LOGICA...7 3. VISUALIZZAZIONE DELLA VISTA RTL...9 4. SIMULAZIONE FUNZIONALE...10

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Simulazione. Simulazione verilog. Testbench. Testbench

Simulazione. Simulazione verilog. Testbench. Testbench Simulazione Simulazione verilog Lucidi del Corso di Elettronica Digitale Modulo 8 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Il verilog

Dettagli

Domande di Reti Logiche compito del 30/1/2018

Domande di Reti Logiche compito del 30/1/2018 Barrare una sola risposta per ogni domanda Il punteggio finale è - (n. di risposte errate + n. domande lasciate in bianco) Usare lo spazio bianco sul retro del foglio per appunti, se serve Sia dato un

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

REVISIONE 0 OTTOBRE 2007

REVISIONE 0 OTTOBRE 2007 REVISIONE 0 OTTOBRE 2007 Se il lettore nota errori, imprecisioni o altro, gli autori sarebbero felici di ricevere queste osservazioni per integrarle in una nuova revisione del documento. E' possibile contattare

Dettagli

Progettazione di circuiti digitali e linguaggio VHDL

Progettazione di circuiti digitali e linguaggio VHDL Progettazione di circuiti digitali e linguaggio VHDL Sergio Ricciarini ~ INFN Firenze Corso di Elettronica Generale I Laurea Magistrale in Scienze Fisiche e Astrofisiche Università di Firenze 2013-10-27

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Note di VHDL STEFANO FERRARI Architetture e reti logiche Note di VHDL Pagina 2 di 56 Indice 1. Introduzione........................................

Dettagli