Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano"

Transcript

1 1

2 Aritmetica frazionaria e fixed point Nella maggior parte delle applicazioni i numeri reali sono approssimati con numeri binari che ne rappresentano la parte intera e quella frazionaria. Il numero di cifre binarie complessivamente utilizzate è fissato, così come il numero di bit da destinare alla parte intera, a quella frazionaria ed eventualmente al segno. Per questo motivo questa rappresentazione viene indicata come virgola fissa (fixed point). Per convenzione di seguito indicheremo con S.X.Y un numero binario a X+Y+1 bit, in cui un bit è dedicato al segno (S), X bit sono destinati alla parte intera e Y a quella frazionaria. I numeri negativi sono rappresentati in complemento a 2. Due esempi di numeri ad 8 bit nel formato S.3.4 sono i seguenti: = oppure = Un metodo semplice per passare da un numero frazionario in base 10 ad un numero binario nel formato S.X.Y è quello di moltiplicare il numero espresso in base 10 per 2 Y e convertire la parte intera del risultato in binario, inserendo il punto decimale nella corretta posizione. Ad esempio per esprimere 6.15 nel formato S.3.4 si ha: x 2 4 = => => Ovviamente la parte intera del numero da convertire deve essere rappresentabile con X bit, mentre la parte frazionaria, per il fatto di utilizzare un numero finito di bit (Y), sarà un approssimazione di quella del numero originale (tanto migliore quanti più bit verranno utilizzati). La scelta del formato fixed point (cioè di X ed Y) deve essere fatta, fissato il numero totale di bit disponibili, in base a queste considerazioni ed ai requisiti dei dati o dell applicazione. Operazioni di somma e sottrazione Le operazioni di somma e sottrazione su numeri fixed point si eseguono esattamente come nel caso di numeri interi (con segno, espressi in complemento a 2), con la possibilità che si verifichino degli overflow. Se gli operandi hanno lo stesso formato, anche il risultato avrà lo stesso formato. Se invece gli operandi non sono espressi nello stesso formato, è sufficiente allineare i punti decimali prima di eseguire le operazioni: (S.2.5) (S.4.3) = = [ = => 5.5] => (S.3.4) In questo caso per capire se si sono verificati degli overflow è necessario fissare il formato che si desidera per il risultato dell operazione (nell esempio è stato scelto S.3.4). La verifica dell overflow andrà fatta considerando i riporti dell ultima e della penultima colonna (cioè la terza e la quarta cifra a sinistra del punto decimale), come avviene nel caso di numeri interi. La parte frazionaria invece può essere troncata senza problemi, l unico effetto di questa operazione è una perdita di precisione. Va notato inoltre che nell allineare gli operandi è necessario eseguire l estensione dei bit di segno nel caso di numeri negativi (cioè aggiungere degli 1 invece che degli 0 a sinistra). Moltiplicazione Se si moltiplicano due numeri fixed point nel formato S.X.Y ed S.W.Z, il risultato avrà un numero di bit pari alla somma di quelli degli operandi, e risulterà nel formato SS.(X+W).(Y+Z), cioè ci saranno due bit di segno, e la parte intera e decimale saranno larghe quanto la somma delle rispettive parti degli operandi. Considerando l intero risultato, come nel caso dei numeri interi, non si possono verificare degli overflow. In molte applicazioni (soprattutto nel campo dell elaborazione dei segnali) può essere richiesto di eseguire molte moltiplicazioni sugli stessi dati, questo porterebbe ad un incremento continuo del numero di bit richiesti per rappresentare i risultati. Per evitare questo è possibile ricondurre il valore ottenuto al formato originale (o comunque ad uno più piccolo). Nel caso generale è sufficiente troncare i bit più significativi della parte intera, ed i meno significativi della parte frazionaria, occorre solo accertarsi che la parte intera del risultato sia rappresentabile col numero di bit scelto. Un altro approccio molto utilizzato in questi casi è quello di considerare numeri dotati solo della parte frazionaria, quindi compresi tra 1 ed 1. La maggior parte dei segnali è infatti rappresentabile in questo intervallo. Questa rappresentazione prende il nome di Q-format, ed in particolare una rappresentazione che utilizza n bit per la parte frazionaria viene indicata con Qn (ed equivale alla rappresentazione S.0.N). Il vantaggio è che il prodotto di due numeri minori di 1 (in valore assoluto) è sempre minore di uno, e quindi ricade nello stesso intervallo, cioè continuerà ad avere una parte intera nulla: S.0.N x S.0.N = SS.0.NN Scartando un bit di segno (il più significativo), e troncando la parte frazionaria ad N bit, si ottiene un arrotondamento del numero e un risultato che ha la stessa larghezza degli operandi e che quindi potrà essere utilizzato come tale. L esempio seguente mostra l utilizzo della rappresentazione Q7 per effettuare un operazione tra numeri non interi: x = (S.0.7) x (S.0.7) = (SS.0.14) => (S.0.7) [ = ] 2

3 Rappresentazione dei numeri in virgola mobile Standard IEEE La rappresentazione dei numeri in virgola mobile (floating point) è un metodo utilizzato per rappresentare i numeri reali utilizzando un numero finito di simboli (bit). A differenza di altri tipi di rappresentazione (virgola fissa, frazionale, razionale ) la codifica in virgola mobile permette di rappresentare a parità di bit un intervallo numerico estremamente più grande. I numeri vengono in pratica rappresentati utilizzando la notazione scientifica, cioè sono espressi da una mantissa e da un esponente, es.: 784 = 7.84x10 2 (in cui 7.84 è la mantissa, e 2 l esponente) = 1.011x2 3 (esempio binario, notare che la base dell esponente è 2) La rappresentazione in virgola mobile ha le seguenti caratteristiche: - La possibilità di gestire separatamente l esponente del numero permette di rappresentare indifferentemente numeri molto grandi e numeri molto piccoli con la stessa precisione (stesso numero di cifre della mantissa). Al contrario, nella rappresentazione in virgola fissa (fixed point) l intervallo dei numeri rappresentabili e la precisione è rigidamente limitato dal numero di bit utilizzati e dalla posizione scelta per il punto decimale. - La distanza tra due numeri floating point consecutivi non è costante, ma varia a seconda dell esponente, es.: tra 1.23x10 2 (=123) e 1.24x10 2 (=124) la distanza è 1 tra 1.23x10 3 (=1230) e 1.24x10 3 (=1240) la distanza è 10 Tuttavia questo non è un inconveniente, infatti rispecchia l esigenza pratica di trascurare nei calcoli le cifre che non rientrano nel range di interesse. Al contrario, nei numeri in virgola fissa la distanza tra due numeri consecutivi è sempre costante e pari al valore del bit meno significativo. - Nelle operazioni con numeri in virgola fissa si possono avere problemi di perdita di precisione quando un operazione tra due numeri molto grandi da come risultato un numero molto piccolo. Nella rappresentazione in virgola mobile questo problema non c è, es.: In virgola fissa, utilizzando 4 digit: / = 0.9 (cioè 000.9) In virgola mobile: 1.398x10 2 / 1.432x10 2 = 9.762x10-1 Standard IEEE Lo standard prevede di rappresentare un numero in virgola mobile utilizzando 32 bit organizzati come segue: - 1 bit [il 31 ] per il segno del numero: 0=pos., 1=neg. - 8 bit [dal 30 al 23 ] per l esponente, - 23 bit [dal 22 allo 0 ] per la mantissa. - L esponente è codificato in eccesso 127, cioè 127 indica 0, 128 indica 1, 126 indica 1, etc I numeri 0 e 255 dell esponente non sono utilizzati normalmente. La mantissa viene considerata normalizzata, quindi si dà per scontato che il bit intero sia sempre 1, e per questo non viene rappresentato, ottenendo così un totale di 24 bit rappresentati. - Lo zero è indicato ponendo tutti i 32 bit a 0. - Ponendo tutti i bit dell esponente a 1 si indica il numero INF (infinito) che può essere positivo o negativo. Le operazioni tra infiniti e numeri danno risultati che sono definiti dallo standard. I numeri che si possono rappresentare vanno da ±10-44 a ± Esempio di codifica del numero in virgola mobile secondo lo standard: = x2 7 ; l esponente 7 in eccesso 127 => = 134 => segno esponente mantissa (estesa a 23 bit) (il primo 1 [a sinistra] è implicito) - E prevista dallo standard anche una rappresentazione a doppia precisione che utilizza 64 bit così suddivisi: segno 1 bit, esponente 11 bit (codificato in eccesso 1023) e mantissa 52 bit. L intervallo rappresentabile va da ± a ±

4 Esempi di descrizioni VHDL Esempi di circuiti combinatori: -- *** Semplice porta AND a due ingressi *** entity PORTA_AND is port( A : in std_logic; B : in std_logic; Y : out std_logic ); end PORTA_AND; architecture esempio of PORTA_AND is Y <= A and B; end esempio; -- *** Multiplexer 2-1 per bus a 8 bit *** entity MUX2_1_8 is port( A : in std_logic_vector(7 downto 0); B : in std_logic_vector(7 downto 0); Y : out std_logic_vector(7 downto 0); S : in std_logic); end MUX2_1_8; -- Ingresso bus A ad 8 bit -- Ingresso bus B ad 8 bit -- Uscita ad 8 bit -- Ingresso di selezione architecture comport of MUX2_1_8 is Y <= A when (S= 0 ) else B; -- *** Convertitore BCD -> 7 segmenti *** entity BCD7SEG is port(bcd: in STD_LOGIC_VECTOR (3 downto 0); LED: out STD_LOGIC_VECTOR (6 downto 0)); end BCD7SEG; -- Ingresso: numero BCD -- Uscita: numero 7-Segmenti architecture comport of BCD7SEG is with BCD select LED<= " " when "0001", --1 " " when "0010", --2 " " when "0011", " " when "1000", --8 " " when "1001", --9 " " when others; --0 4

5 -- *** Half Adder (esempio di descrizione strutturale) *** entity HalfAdder is port( A : in std_logic; B : in std_logic; S : out std_logic; C : out std_logic); end HalfAdder; -- Ingresso bit A -- Ingresso bit B -- Uscita somma -- Uscita riporto architecture Structural of HalfAdder is component PORTA_AND port(aa, AB : in std_logic; AC : out std_logic); end component; component PORTA_XOR port(xa, XB : in std_logic; XC : out std_logic); end component; P1: PORTA_XOR port map (XA=>A, XB=>B, XC=>S); P2: PORTA_AND port map (AA=>A, AB=>B, AC=>C); end Structural; Esempi di descrizione di circuiti sequenziali: -- *** Registro a 8 bit *** entity REG8 is port( D : in std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0); CLK : in std_logic; RESET : in std_logic); end REG8; architecture esempio of REG8 is process (D, CLK, RESET) if RESET= 1 then Q <= ; -- Si può scrivere (others => 0 ); elsif (CLK event and CLK= 1 ) then Q <= D; end process; end esempio; -- *** Cont. a 8 bit con caric. parallelo *** entity CONT8 is port( D : in std_logic_vector(7 downto 0); Q : out std_logic_vector(7 downto 0); LOAD : in std_logic; CLK : in std_logic; RESET : in std_logic); end CONT8; architecture comport of CONT8 is process (D, CLK, LOAD, RESET) if RESET= 1 then Q <= ; -- Si può scrivere (others => 0 ); elsif (CLK event and CLK= 1 ) then if LOAD = 1 then Q <= D; else Q <= Q + 1; end process; 5

Lezione 3. I numeri relativi

Lezione 3. I numeri relativi Lezione 3 L artimetcia binaria: i numeri relativi i numeri frazionari I numeri relativi Si possono rappresentare i numeri negativi in due modi con modulo e segno in complemento a 2 1 Modulo e segno Si

Dettagli

Codifica. Rappresentazione di numeri in memoria

Codifica. Rappresentazione di numeri in memoria Codifica Rappresentazione di numeri in memoria Rappresentazione polinomiale dei numeri Un numero decimale si rappresenta in notazione polinomiale moltiplicando ciascuna cifra a sinistra della virgola per

Dettagli

Numeri reali. Notazione scientifica (decimale) Floating Point. Normalizzazione. Esempi. Aritmetica del calcolatore (virgola mobile)

Numeri reali. Notazione scientifica (decimale) Floating Point. Normalizzazione. Esempi. Aritmetica del calcolatore (virgola mobile) Numeri reali Aritmetica del calcolatore (virgola mobile) Capitolo 9 1 Numeri con frazioni Posso essere rappresentati anche in binario Es.: 1001.1010 = 2 4 + 2 0 +2-1 + 2-3 =9.625 Quante cifre dopo la virgola?

Dettagli

La codifica delle informazioni numeriche ed alfanumeriche.

La codifica delle informazioni numeriche ed alfanumeriche. Prof.ssa Bianca Petretti La codifica delle informazioni numeriche ed alfanumeriche. Appunti del docente RAPPRESENTAZIONE INFORMAZIONI ALFANUMERICHE codifica ASCII (7 bit): 128 caratteri (da 000 a 127);

Dettagli

Informatica Generale 02 - Rappresentazione numeri razionali

Informatica Generale 02 - Rappresentazione numeri razionali Informatica Generale 02 - Rappresentazione numeri razionali Cosa vedremo: Rappresentazione binaria dei numeri razionali Rappresentazione in virgola fissa Rappresentazione in virgola mobile La rappresentazione

Dettagli

Rappresentazione dei Numeri

Rappresentazione dei Numeri Rappresentazione dei Numeri Rappresentazione dei Numeri Il sistema numerico binario è quello che meglio si adatta alle caratteristiche del calcolatore Il problema della rappresentazione consiste nel trovare

Dettagli

Conversione binario-ottale/esadecimale. Conversione binario-ottale/esadecimale. Rappresentazione di Numeri Interi Positivi (numeri naturali)

Conversione binario-ottale/esadecimale. Conversione binario-ottale/esadecimale. Rappresentazione di Numeri Interi Positivi (numeri naturali) Conversione binario-ottale/esadecimale Conversione binario-ottale/esadecimale Nella rappresentazione ottale (B=8) si usano gli 8 simboli,, 2, 3, 4, 5, 6, 7 In quella esadecimale (B=6) i 6 simboli,, 2,

Dettagli

Parte III Indice. Rappresentazione dei valori frazionari. Esercizi. in virgola fissa in virgola mobile III.1. Fondamenti di Informatica

Parte III Indice. Rappresentazione dei valori frazionari. Esercizi. in virgola fissa in virgola mobile III.1. Fondamenti di Informatica Parte III Indice Rappresentazione dei valori frazionari in virgola fissa in virgola mobile Esercizi III.1 Rappresentazione dei valori frazionari I valori frazionari sono del tipo: xxxxxxx xxxx,yyyyy yyyy

Dettagli

Unità aritmetica e logica

Unità aritmetica e logica Aritmetica del calcolatore Capitolo 9 Unità aritmetica e logica n Esegue le operazioni aritmetiche e logiche n Ogni altra componente nel calcolatore serve questa unità n Gestisce gli interi n Può gestire

Dettagli

Lezione 1. Rappresentazione dei numeri. Aritmetica dei calcolatori. Rappresentazione dei numeri naturali in base 2

Lezione 1. Rappresentazione dei numeri. Aritmetica dei calcolatori. Rappresentazione dei numeri naturali in base 2 Lezione 1 Rappresentazione dei numeri Aritmetica dei calcolatori Rappresentazione dei numeri naturali in base 2 Si utilizza un alfabeto binario A = {0,1} dove 0 corrisponde al numero zero e 1 corrisponde

Dettagli

Rappresentazione. Notazione in complemento a 2. Complemento a due su 3 e 4 bit Complemento a due

Rappresentazione. Notazione in complemento a 2. Complemento a due su 3 e 4 bit Complemento a due Rappresentazione degli interi Notazione in complemento a 2 n bit per la notazione Nella realta n=32 Per comodita noi supponiamo n=4 Numeri positivi 0 si rappresenta con 4 zeri 0000 1 0001, 2 0010 e cosi

Dettagli

Rappresentazione in virgola mobile Barbara Masucci

Rappresentazione in virgola mobile Barbara Masucci Architettura degli Elaboratori Rappresentazione in virgola mobile Barbara Masucci Punto della situazione Abbiamo visto le rappresentazioni dei numeri: Ø Sistema posizionale pesato per Ø Ø Interi positivi

Dettagli

Codifica dell informazione numerica. Matteo Re, Nicola Basilico,

Codifica dell informazione numerica. Matteo Re, Nicola Basilico, Codifica dell informazione numerica Matteo Re, matteo.re@unimi.it Nicola Basilico, nicola.basilico@unimi.it Informazioni (edizione 2017-2018) Turno A (cognomi A - F) Nicola Basilico, dip. via Comelico,

Dettagli

Lezione 4. Sommario. L artimetica binaria: I numeri relativi e frazionari. I numeri relativi I numeri frazionari

Lezione 4. Sommario. L artimetica binaria: I numeri relativi e frazionari. I numeri relativi I numeri frazionari Lezione 4 L artimetica binaria: I numeri relativi e frazionari Sommario I numeri relativi I numeri frazionari I numeri in virgola fissa I numeri in virgola mobile 1 Cosa sono inumeri relativi? I numeri

Dettagli

Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettrica ed Elettronica

Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettrica ed Elettronica Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettrica ed Elettronica Capitolo 6 Unità di Elaborazione Aritmetica di Macchina Progettazione ALU Outline Aritmetica

Dettagli

Rappresentazione numeri reali

Rappresentazione numeri reali Rappresentazione numeri reali I numeri reali rappresentabili in un calcolatore sono in realtà numeri razionali che approssimano i numeri reali con un certo grado di precisione Per rappresentare un numero

Dettagli

Codifica dell informazione numerica

Codifica dell informazione numerica Codifica dell informazione numerica Nicola Basilico, nicola.basilico@unimi.it Architetture degli Elaboratori I, Laboratorio - Corso di Laurea in Informatica, A.A. 201-2018 Informazioni (edizione 2017-2018)

Dettagli

Codifica dell Informazione per il Calcolo Scientifico

Codifica dell Informazione per il Calcolo Scientifico Alfredo Cuzzocrea per il Calcolo Scientifico CODIFICA BINARIA Codifica binaria: usa un alfabeto di 2 simboli Utilizzata nei sistemi informatici Si utilizza una grandezza fisica (luminosità, tensione elettrica,

Dettagli

Rappresentazione numeri relativi e reali

Rappresentazione numeri relativi e reali Rappresentazione numeri relativi e reali Lezione 2 Rappresentazione numeri relativi Rappresentazione numeri reali Rappresentazione in Modulo e Segno Rappresentare separatamente il segno (mediante un bit

Dettagli

Calcolatori Elettronici Parte III: Sistemi di Numerazione Binaria

Calcolatori Elettronici Parte III: Sistemi di Numerazione Binaria Anno Accademico 2001/2002 Calcolatori Elettronici Parte III: Sistemi di Numerazione Binaria Prof. Riccardo Torlone Università di Roma Tre Numeri e numerali! Numero: entità astratta! Numerale: stringa di

Dettagli

Numeri in virgola mobile

Numeri in virgola mobile Numeri in virgola mobile PH. 3.6 1 Motivazioni virgola mobile Rappresentazione in virgola fissa per rappresentare numeri frazionari fissando la posizione della virgola su una posizione prestabilita Le

Dettagli

Rappresentazione dell informazione

Rappresentazione dell informazione Rappresentazione dell informazione La codifica delle informazioni codifica forma adatta per essere trattata dall elaboratore INFORMAZIONI DATI interpretazione 2 Informazioni Numeri Immagini fisse Interi

Dettagli

Somma di numeri binari

Somma di numeri binari Fondamenti di Informatica: Codifica Binaria dell Informazione 1 Somma di numeri binari 0 + 0 = 0 0 + 1 = 1 1 + 0 = 1 1 + 1 = 10 Esempio: 10011011 + 00101011 = 11000110 in base e una base Fondamenti di

Dettagli

Corso di Fondamenti di Informatica Rappresentazione dei dati numerici Aritmetica dei registri Anno Accademico 2011/2012 Francesco Tortorella

Corso di Fondamenti di Informatica Rappresentazione dei dati numerici Aritmetica dei registri Anno Accademico 2011/2012 Francesco Tortorella Corso di Informatica Rappresentazione dei dati numerici Aritmetica dei registri Anno Accademico 2011/2012 Francesco Tortorella Numero e rappresentazione Spesso si confonde il numero con la sua rappresentazione

Dettagli

Aritmetica dei Calcolatori

Aritmetica dei Calcolatori Aritmetica dei Calcolatori Luca Abeni e Luigi Palopoli February 18, 2016 Informazione nei Computer Un computer è un insieme di circuiti elettronici......in ogni circuito, la corrente può passare o non

Dettagli

Informazione binaria: - rappresentazione dei numeri razionali -

Informazione binaria: - rappresentazione dei numeri razionali - Informazione binaria: - rappresentazione dei numeri razionali - Percorso di Preparazione agli Studi di Ingegneria Università degli Studi di Brescia Docente: Massimiliano Giacomin Nel seguito vedremo tipologie

Dettagli

Calcolo numerico e programmazione Rappresentazione dei numeri

Calcolo numerico e programmazione Rappresentazione dei numeri Calcolo numerico e programmazione Rappresentazione dei numeri Tullio Facchinetti 16 marzo 2012 10:54 http://robot.unipv.it/toolleeo Rappresentazione dei numeri nei calcolatori

Dettagli

CALCOLO NUMERICO. Rappresentazione virgola mobile (Floating Point)

CALCOLO NUMERICO. Rappresentazione virgola mobile (Floating Point) ASA Marzo Docente Salvatore Mosaico Introduzione al Calcolo Numerico (parte ) CALCOLO NUMERICO Obiettivo del calcolo numerico è quello di fornire algoritmi numerici che, con un numero finito di operazioni

Dettagli

Rappresentazione dei numeri reali

Rappresentazione dei numeri reali Rappresentazione dei numeri reali La rappresentazione dei numeri reali in base 2 è completamente analoga a quella in base : Parte intera + parte frazionaria, separate da un punto La parte frazionaria è

Dettagli

Sistemi di Numerazione Binaria

Sistemi di Numerazione Binaria Sistemi di Numerazione Binaria BIN.1 Numeri e numerali Numero: entità astratta Numerale : stringa di caratteri che rappresenta un numero in un dato sistema di numerazione Lo stesso numero è rappresentato

Dettagli

Fondamenti di Programmazione. Sistemi di rappresentazione

Fondamenti di Programmazione. Sistemi di rappresentazione Fondamenti di Programmazione Sistemi di rappresentazione Numeri e numerali Il numero cinque 5 V _ Π 五 Arabo Romano Maya Greco Cinese Il sistema decimale Sistemi posizionali 1 10 3 + 4 10 2 + 9 10 1 + 2

Dettagli

Aritmetica dei Calcolatori

Aritmetica dei Calcolatori Aritmetica dei Calcolatori Luca Abeni e Luigi Palopoli February 25, 2015 Informazione nei Computer Un computer è un insieme di circuiti elettronici......in ogni circuito, la corrente può passare o non

Dettagli

UD 1.2e: La codifica Digitale dei Numeri CODIFICA DIGITALE DEI NUMERI

UD 1.2e: La codifica Digitale dei Numeri CODIFICA DIGITALE DEI NUMERI Modulo 1: Le I.C.T. : La codifica Digitale dei Numeri CODIFICA DIGITALE DEI NUMERI Prof. Alberto Postiglione Corso di Informatica Generale (AA 07-08) Corso di Laurea in Scienze della Comunicazione Università

Dettagli

Modulo 1: Le I.C.T. UD 1.2e: La codifica Digitale dei Numeri

Modulo 1: Le I.C.T. UD 1.2e: La codifica Digitale dei Numeri Modulo 1: Le I.C.T. : La codifica Digitale dei Numeri Prof. Alberto Postiglione Corso di Informatica Generale (AA 07-08) Corso di Laurea in Scienze della Comunicazione Università degli Studi di Salerno

Dettagli

N= a i b i. Numeri e numerali. Sistemi di Numerazione Binaria. Sistemi posizionali. Numeri a precisione finita

N= a i b i. Numeri e numerali. Sistemi di Numerazione Binaria. Sistemi posizionali. Numeri a precisione finita Numeri e numerali Numero: entità astratta Numerale : stringa di caratteri che rappresenta un numero in un dato sistema di numerazione Sistemi di Numerazione Binaria Lo stesso numero è rappresentato da

Dettagli

Algebra di Boole e porte logiche

Algebra di Boole e porte logiche Algebra di Boole e porte logiche Dott.ssa Isabella D'Alba Corso PENTEST MIND PROJECT 2016 Algebra di Boole e porte logiche (I parte) Algebra di Boole I Sistemi di Numerazione (Posizionali, Non posizionali)

Dettagli

12BHD - Informatica - soluzioni Appendice B del quaderno di testo - v. 1.05

12BHD - Informatica - soluzioni Appendice B del quaderno di testo - v. 1.05 Esercizio 1 Effettuare i seguenti cambiamenti di codifica su numeri naturali: 123 10 = x 2 [ 1111011 2 ] 011101 2 = x 10 [ 29 10 ] 23 10 = x 5 [ 43 5 ] 123 5 = x 10 [ 38 10 ] 123 10 = x H [ 7B 16 ] A1

Dettagli

Rappresentazione di Numeri Reali. Rappresentazione in virgola fissa (fixed-point) Rappresentazione in virgola fissa (fixed-point)

Rappresentazione di Numeri Reali. Rappresentazione in virgola fissa (fixed-point) Rappresentazione in virgola fissa (fixed-point) Rappresentazione di Numeri Reali Un numero reale è una grandezza continua Può assumere infiniti valori In una rappresentazione di lunghezza limitata, deve di solito essere approssimato. Esistono due forme

Dettagli

Rappresentazione dei numeri reali in un calcolatore

Rappresentazione dei numeri reali in un calcolatore Corso di Calcolatori Elettronici I A.A. 2010-2011 Rappresentazione dei numeri reali in un calcolatore Lezione 3 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Rappresentazione di numeri

Dettagli

Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri Roberto Navigli

Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri Roberto Navigli Architettura degli Elaboratori I Esercitazione 1 - Rappresentazione dei numeri Roberto Navigli 1 Da base 2 a base 10 I seguenti esercizi richiedono di convertire in base 10 la medesima stringa binaria

Dettagli

Rappresentazione dei dati

Rappresentazione dei dati Rappresentazione dei dati Rappresentazione dei dati Rappresentazione in base 2 e base 6 Aritmetica dei registri Come rappresentiamo i numeri? Base di numerazione: dieci Cifre: 2 3 4 5 6 7 8 9 Rappresentazione

Dettagli

Esercitazione Informatica I (Parte 1) AA Nicola Paoletti

Esercitazione Informatica I (Parte 1) AA Nicola Paoletti Esercitazione Informatica I (Parte 1) AA 2011-2012 Nicola Paoletti 31 Maggio 2012 2 Antipasto 1. Quanti bit sono necessari per rappresentare (a) (227.551.832) 10? (b) (125.521) 10? 2. Quanti decimali sono

Dettagli

Fondamenti di Programmazione. Sistemi di rappresentazione

Fondamenti di Programmazione. Sistemi di rappresentazione Fondamenti di Programmazione Sistemi di rappresentazione Numeri e numerali Il numero cinque 5 V _ Π 五 Arabo Romano Maya Greco Cinese Sistemi posizionali 1 10 3 + 4 10 2 + 9 10 1 + 2 10 0 Sistemi posizionali

Dettagli

Codifica dell informazione numerica

Codifica dell informazione numerica Codifica dell informazione numerica Nicola Basilico, nicola.basilico@unimi.it Architettura degli Elaboratori I, Laboratorio - Corso di Laurea in Informatica, A.A. 2018-2019 Rappresentazione approssimata

Dettagli

Fondamenti di Informatica - 1. Prof. B.Buttarazzi A.A. 2011/2012

Fondamenti di Informatica - 1. Prof. B.Buttarazzi A.A. 2011/2012 Fondamenti di Informatica - 1 Prof. B.Buttarazzi A.A. 2011/2012 I numeri reali Sommario Conversione dei numeri reali da base 10 a base B Rappresentazione dei numeri reali Virgola fissa Virgola mobile (mantissa

Dettagli

Corso di Sistemi di Elaborazione delle informazioni

Corso di Sistemi di Elaborazione delle informazioni Corso di Sistemi di Elaborazione delle informazioni Sistemi di Numerazione Francesco Fontanella I sistemi di numerazione Sono stati inventati i SISTEMI DI NUMERAZIONE: Forme di rappresentazione dei numeri

Dettagli

Sistemi di Numerazione Binaria

Sistemi di Numerazione Binaria Sistemi di Numerazione Binaria NB.1 Numeri e numerali Numero: entità astratta Numerale : stringa di caratteri che rappresenta un numero in un dato sistema di numerazione Lo stesso numero è rappresentato

Dettagli

Outline. cose da ricordare (cont d) Aritmetica di macchina: cose da ricordare

Outline. cose da ricordare (cont d) Aritmetica di macchina: cose da ricordare Esercitazione di Calcolatori Elettronici Ing. Gian Luca Marcialis Corso di Laurea in Ingegneria Elettrica ed Elettronica Outline ritmetica dei calcolatori Rappresentazione dei numeri lgoritmo della somma

Dettagli

Codice binario. Codice. Codifica - numeri naturali. Codifica - numeri naturali. Alfabeto binario: costituito da due simboli

Codice binario. Codice. Codifica - numeri naturali. Codifica - numeri naturali. Alfabeto binario: costituito da due simboli Codice La relazione che associa ad ogni successione ben formata di simboli di un alfabeto il dato corrispondente è detta codice. Un codice mette quindi in relazione le successioni di simboli con il significato

Dettagli

Aritmetica dei Calcolatori

Aritmetica dei Calcolatori Aritmetica dei Calcolatori Nicu Sebe March 14, 2016 Informatica Nicu Sebe 1 / 34 Operazioni su Bit Bit Scienza della rappresentazione e dell elaborazione dell informazione Abbiamo visto come i computer

Dettagli

Sistemi di Numerazione Binaria

Sistemi di Numerazione Binaria Sistemi di Numerazione Binaria NB.1 Numeri e numerali Numero: entità astratta Numerale : stringa di caratteri che rappresenta un numero in un dato sistema di numerazione Lo stesso numero è rappresentato

Dettagli

Fondamenti di Informatica. Codifiche per numeri decimali: virgola fissa e mobile

Fondamenti di Informatica. Codifiche per numeri decimali: virgola fissa e mobile Corso di per il corso di Laurea di Ingegneria Meccanica Codifiche per numeri decimali: virgola fissa e mobile Università degli Studi di Udine - A.A. 2010-2011 Docente Ing. Sandro Di Giusto Ph.D. 1 Rappresentazioni

Dettagli

Rappresentazione delle informazioni

Rappresentazione delle informazioni Testo di rif.to: [Congiu] - 1.1 (pg. 1 17) Rappresentazione delle informazioni -1.g Informazioni numeriche Cosa vedremo 1. I sistemi di numerazione Decimale, binario, esadecimale Conversioni di base 1

Dettagli

Aritmetica dei Calcolatori Elettronici

Aritmetica dei Calcolatori Elettronici Aritmetica dei Calcolatori Elettronici Prof. Orazio Mirabella L informazione Analogica Segnale analogico: variabile continua assume un numero infinito di valori entro l intervallo di variazione intervallo

Dettagli

Rappresentazione dell Informazione

Rappresentazione dell Informazione Rappresentazione dell Informazione Gli strumenti di elaborazione e memorizzazione a cui un computer ha accesso hanno solo 2 stati Rappresentazione delle informazioni in codice binario: Caratteri, Naturali

Dettagli

Rappresentazione dei numeri reali

Rappresentazione dei numeri reali Rappresentazione dei numeri reali Rappresentazione di numeri reali Con un numero finito di cifre è solo possibile rappresentare un numero razionale che approssima con un certo errore il numero reale dato

Dettagli

Rappresentazione di dati: numerazione binaria. Appunti per la cl. 3 Di A cura del prof. Ing. Mario Catalano

Rappresentazione di dati: numerazione binaria. Appunti per la cl. 3 Di A cura del prof. Ing. Mario Catalano Rappresentazione di dati: numerazione binaria Appunti per la cl. 3 Di A cura del prof. Ing. Mario Catalano Rappresentazione binaria Tutta l informazione interna ad un computer è codificata con sequenze

Dettagli

Rappresentazione di numeri reali

Rappresentazione di numeri reali Rappresentazione di numeri reali Con un numero finito di cifre è solo possibile rappresentare un numero razionale che approssima con un certo errore il numero reale dato Vengono usate due notazioni: A)

Dettagli

Utilizzata per rappresentare numeri frazionari nella. numero =(mantissa) 2 esponente. Il formato piu utilizzato e quello IEEE P754, rappresentato

Utilizzata per rappresentare numeri frazionari nella. numero =(mantissa) 2 esponente. Il formato piu utilizzato e quello IEEE P754, rappresentato Rappresentazione in oating-point Utilizzata per rappresentare numeri frazionari nella notazione esponenziale: numero =(mantissa) 2 esponente Il formato piu utilizzato e quello IEEE P754, rappresentato

Dettagli

Rappresentazione della informazione

Rappresentazione della informazione Rappresentazione della informazione Rappresentazione digitale dei dati Numeri interi Numeri reali Caratteri (C++: int) (C++ float e double) (C++ char) Memoria del calcolatore è finita L insieme dei numeri

Dettagli

Rappresentazione binaria

Rappresentazione binaria Rappresentazione binaria Per informazione intendiamo tutto quello che viene manipolato da un calcolatore: numeri (naturali, interi, reali,... ) caratteri immagini suoni programmi... La più piccola unità

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. Numeri in virgola. Marco D. Santambrogio Ver. aggiornata al 10 Novembre 2015

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. Numeri in virgola. Marco D. Santambrogio Ver. aggiornata al 10 Novembre 2015 Numeri in virgola Marco D. Santambrogio marco.santambrogio@polimi.it Ver. aggiornata al 10 Novembre 2015 Numeri in virgola fissa Fino a questo punto abbiamo assunto che Un vettore di bit rappresentasse

Dettagli

Esercitazioni su rappresentazione dei numeri e aritmetica. Interi unsigned in base 2

Esercitazioni su rappresentazione dei numeri e aritmetica. Interi unsigned in base 2 Esercitazioni su rappresentazione dei numeri e aritmetica Salvatore Orlando & Marta Simeoni Interi unsigned in base 2 Si utilizza un alfabeto binario A = {0,1}, dove 0 corrisponde al numero zero, e 1 corrisponde

Dettagli

Analogico vs. Digitale. LEZIONE II La codifica binaria. Analogico vs digitale. Analogico. Digitale

Analogico vs. Digitale. LEZIONE II La codifica binaria. Analogico vs digitale. Analogico. Digitale Analogico vs. Digitale LEZIONE II La codifica binaria Analogico Segnale che può assumere infiniti valori con continuità Digitale Segnale che può assumere solo valori discreti Analogico vs digitale Il computer

Dettagli

Conversione binario-decimale. Interi unsigned in base 2. Esercitazioni su rappresentazione. dei numeri e aritmetica

Conversione binario-decimale. Interi unsigned in base 2. Esercitazioni su rappresentazione. dei numeri e aritmetica Esercitazioni su rappresentazione dei numeri e aritmetica Salvatore Orlando & Marta Simeoni Interi unsigned in base 2 I seguenti numeri naturali sono rappresentabili usando il numero di bit specificato?

Dettagli

Rappresentazione e Codifica dell Informazione

Rappresentazione e Codifica dell Informazione Rappresentazione e Codifica dell Informazione Capitolo 1 Chianese, Moscato, Picariello, Alla scoperta dei fondamenti dell informatica un viaggio nel mondo dei BIT, Liguori editore. Calcolare il complemento

Dettagli

La codifica binaria. Fondamenti di Informatica. Daniele Loiacono

La codifica binaria. Fondamenti di Informatica. Daniele Loiacono La codifica binaria Fondamenti di Informatica Come memorizzo l informazione nel calcolatore? 1 bit di informazione 1 bit di informazione La memoria del calcolatore Introduzione q Il calcolatore usa internamente

Dettagli

Rappresentazione binaria

Rappresentazione binaria Rappresentazione binaria Per informazione intendiamo tutto quello che viene manipolato da un calcolatore: numeri (naturali, interi, reali,... ) caratteri immagini suoni programmi... La più piccola unità

Dettagli

Rappresentazione dei Numeri in Virgola Mobile Valeria Cardellini

Rappresentazione dei Numeri in Virgola Mobile Valeria Cardellini Rappresentazione dei Numeri in Virgola Mobile Valeria Cardellini Corso di Calcolatori Elettronici A.A. 2018/19 Università degli Studi di Roma Tor Vergata Dipartimento di Ingegneria Civile e Ingegneria

Dettagli

Lezione 9 Aritmetica in virgola mobile (3)

Lezione 9 Aritmetica in virgola mobile (3) Lezione 9 Aritmetica in virgola mobile (3) Vittorio Scarano Architettura Corso di Laurea in Informatica Università degli Studi di Salerno Un ripasso Un quadro della situazione: dove siamo, dove stiamo

Dettagli

Operazioni artimetiche

Operazioni artimetiche Operazioni artimetiche Per effettuare operazioni è necessario conoscere la definizione del comportamento per ogni coppia di simboli Per ogni operazione esiste una tabella Fondamenti di Informatica 1 Somma

Dettagli

Fondamenti di Informatica - 1. Esercizi A.A. 2011/2012

Fondamenti di Informatica - 1. Esercizi A.A. 2011/2012 Fondamenti di Informatica - 1 Esercizi A.A. 2011/2012 Esercizio Esercizio Esercizio Esercizio Esercizio Dato ilnumero 11000000111100000000000000000000 rappresentato secondo lo standard floating point IEEE

Dettagli

Lezione 2. Rappresentazione dell informazione

Lezione 2. Rappresentazione dell informazione Architetture dei calcolatori e delle reti Lezione 2 Rappresentazione dell informazione A. Borghese, F. Pedersini Dip. Informatica (DI) Università degli Studi di Milano L 2 1/24 Rappresentazione dell informazione

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. Numeri in virgola. Marco D. Santambrogio Ver. aggiornata al 14 Novembre 2014

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. Numeri in virgola. Marco D. Santambrogio Ver. aggiornata al 14 Novembre 2014 Numeri in virgola Marco D. Santambrogio marco.santambrogio@polimi.it Ver. aggiornata al 14 Novembre 2014 Ogni promessa è debito 2 Ogni promessa è debito 3 Ogni promessa è debito Dove sei? 4 Ogni promessa

Dettagli

Rappresentazione in virgola fissa. Rappresentazione in virgola mobile (floating point)

Rappresentazione in virgola fissa. Rappresentazione in virgola mobile (floating point) RAPPRESENTAZIONE DI NUMERI REALI 2 modalità Rappresentazione in virgola fissa Rappresentazione in virgola mobile (floating point) M. GIACOMIN - UNIVERSITA DI BRESCIA ESERCITAZIONI DI FONDAMENTI DI INFORMATICA

Dettagli

La rappresentazione dei numeri. La rappresentazione dei numeri. Aritmetica dei calcolatori. La rappresentazione dei numeri

La rappresentazione dei numeri. La rappresentazione dei numeri. Aritmetica dei calcolatori. La rappresentazione dei numeri CEFRIEL Consorzio per la Formazione e la Ricerca in Ingegneria dell Informazione Aritmetica dei calcolatori Rappresentazione dei numeri naturali e relativi Addizione a propagazione di riporto Addizione

Dettagli

Interi unsigned in base 2. Esercitazioni su rappresentazione dei numeri e aritmetica. Conversione binario-decimale

Interi unsigned in base 2. Esercitazioni su rappresentazione dei numeri e aritmetica. Conversione binario-decimale Arch. Elab. A M. Simeoni 1 Interi unsigned in base 2 Si utilizza un alfabeto binario A = {0,1}, dove 0 corrisponde al numero zero, e 1 corrisponde al numero uno d n1...d 1 d 0 con di d i {0,1} Esercitazioni

Dettagli

La codifica binaria. Fondamenti di Informatica. Daniele Loiacono

La codifica binaria. Fondamenti di Informatica. Daniele Loiacono La codifica binaria Fondamenti di Informatica Introduzione q Il calcolatore usa internamente una codifica binaria (0 e 1) per rappresentare: i dati da elaborare (numeri, testi, immagini, suoni, ) le istruzioni

Dettagli

modificato da andynaz Cambiamenti di base Tecniche Informatiche di Base

modificato da andynaz Cambiamenti di base Tecniche Informatiche di Base Cambiamenti di base Tecniche Informatiche di Base TIB 1 Il sistema posizionale decimale L idea del sistema posizionale: ogni cifra ha un peso Esempio: 132 = 100 + 30 + 2 = 1 10 2 + 3 10 1 + 2 10 0 Un numero

Dettagli

CONVERSIONE BINARIO DECIMALE NB: Convertire in decimale il numero binario N = N =

CONVERSIONE BINARIO DECIMALE NB: Convertire in decimale il numero binario N = N = NOTAZIONE BINARIA, OTTALE, ESADECIMALE CODIFICA DI NUMERI INTERI RELATIVI 1 CONVERSIONE BINARIO DECIMALE Convertire in decimale il numero binario N = 101011.1011 2 N = 1 2 5 + 0 2 4 + 1 2 3 + 0 2 2 + 1

Dettagli

Codifica dell informazione

Codifica dell informazione Codifica dell informazione Informatica B Come memorizzo l informazione nel calcolatore? 1 bit di informazione 1 bit di informazione La memoria del calcolatore L informazione nel calcolatore q Il calcolatore

Dettagli

Paolo Bison. Fondamenti di Informatica A.A. 2006/07 Università di Padova

Paolo Bison. Fondamenti di Informatica A.A. 2006/07 Università di Padova Rappresentazione dell informazione Paolo Bison Fondamenti di Informatica A.A. 2006/07 Università di Padova Rappresentazione dell informazione, Paolo Bison, FI06, 2007-01-30 p.1 Codifica dell informazione

Dettagli

= = =

= = = Rappresentazione dell informazione Paolo Bison Fondamenti di Informatica AA 2006/07 Università di Padova Codifica dell informazione rappresentazione dell informazione con una sequenza finita di bit differenti

Dettagli

Numeri floating point

Numeri floating point Numeri floating point Corso di Calcolo Numerico, a.a. 2008/2009 Francesca Mazzia Dipartimento di Matematica Università di Bari Francesca Mazzia (Univ. Bari) Numeri floating point 1 / 32 Rappresentazione

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Rappresentazione in Virgola Mobile Francesco Lo Presti Rielaborate da Salvatore Tucci Rappresentazione di numeri reali q Con un numero finito di cifre è possibile rappresentare

Dettagli

Rappresentazione FP IEEE-754 Somme di numeri FP

Rappresentazione FP IEEE-754 Somme di numeri FP Rappresentazione FP IEEE-754 Somme di numeri FP Salvatore Orlando & Marta Simeoni Arch. Elab.A -M. Simeoni 1 Mantissa = d 0. d -1...d -k Lo standard IEEE754 usa una notazione scientifica normalizzata,

Dettagli

Lezione 2. Rappresentazione dell informazione

Lezione 2. Rappresentazione dell informazione Architetture dei calcolatori e delle reti Lezione 2 Rappresentazione dell informazione A. Borghese, F. Pedersini Dip. Informatica (DI) Università degli Studi di Milano 1 Rappresentazione dell informazione

Dettagli

Rappresentazione dell Informazione

Rappresentazione dell Informazione Rappresentazione dell Informazione Rappresentazione delle informazioni in codice binario Caratteri Naturali e Reali positivi Interi Razionali Rappresentazione del testo Una stringa di bit per ogni simbolo

Dettagli

Rappresentazione binaria

Rappresentazione binaria Codifica digitale delle informazioni Argomenti - Rappresentazione binaria delle informazioni - Codifica di informazioni enumerative - Codifiche di numeri naturali, interi, razionali Rappresentazione binaria

Dettagli