Sintesi logica: Strategie Alberto Scandurra

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Sintesi logica: Strategie Alberto Scandurra"

Transcript

1 Sintesi logica: Strategie Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy

2 Passi di sintesi Traduzione del codice rtl in equazioni booleane e ottimizzazione in strutture generiche (porte, mux, datapath). Generic structuring synthesize -to_generic Derivazione dei target per ogni classe/gruppo Target setting Ottimizzazione di area, timing e potenza, mediante mapping su celle di libreria per soddisfare i target. Global mapping synthesize -to_mapped -no_incr Controllo di ciascuna cella del design ed eventuale sostituzione con celle più piccole e/o veloci. Remaps (area_map..) DRC, ottimizzazione di timing e area, nuova sintesi di regioni critiche Incremental synthesize -incremental 2

3 Definizione di path group E possibile raggruppare insieme diversi path in uno stesso cost group. RC ottimizza in base ai cost group. Scegliere un appropriata strategia di path grouping è fondamentale per ottenere i migliori risultati di sintesi. Il mapper calcola uno slack obiettivo per ogni cost group e lavora all ottimizzazione di tutti i cost group contemporaneamente. Ciascuno dei clock definiti nel file SDC definisce un cost group separato. Se si vogliono creare nuovi cost group, occorre prima rimuovere quelli creati automaticamente. rm [find /des* -cost_group *] define_cost_group -name C2C path_group -from [all::all_seqs] -to [all::all_seqs] -group C2C -name C2C define_cost_group name I2C path_group -from [all::all_inps] -to [all::all_seqs] -group I2C -name I2C define_cost_group name I2O path_group -from [all::all_inps] -to [all::all_outs] -group I2O -name I2O define_cost_group name C2O path_group -from [all::all_seqs] -to [all::all_outs] -group C2O -name C2O 3

4 Ottimizzazione di TNS/WNS Per dire a RC di ottimizzare il total negative slack (TNS), usare: set_attr tns_opto true / Il valore di default dell attributo tns_opto è false. Per default RC ottimizza il worst negative slack (WNS) in ciascun cost group finchè non riesce piu a migliorare. L ottimizzazione del WNS produce soltanto una miglioria dell area ma più violazioni di timing. Quindi se è noto che a livello di BE si possono fissare facilmente le violazioni di timing, l approccio WNS è consigliato. L ottimizzazione del TNS produce meno violazioni di timing in totale, che si traducono in meno problemi nella fase di place-and-route, assumendo una buona correlazione del timing tra FE e BE. In generale è sempre consigliato attivare l ottimizzazione del TNS. 4

5 Boundary Optimization Durante la boundary optimization, RC muoverà le costanti attraverso la gerarchia, e ridistribuità feedthrough e segnali complementari. Per default, la boundary optimization è attiva. Per disattivare la boundary optimization su un subdesign, usare: set_attr boundary_opto 0 [find / subdesign SUB_NAME] Tale disattivazione potrebbe impattare la QoR, per cui usarla solo quando strettamente necessaria. L2 clk L1 Constant a=0 Hierarchical boundary 5

6 Ottimizzazione della logica sequenziale La rimozione di FF non necessari avviene in vari stadi della sintesi. Il log file contiene tutte le informazioni relative a tali rimozioni. Per disattivare la rimozione di FF durante l elaborazione, usare: set_attr hdl_preserve_unused_register true / Per default, RC rimuove tutta la logica che non pilota alcuna porta di uscita. Per disabilitare questa proprietà usare i comandi: set_attr delete_unloaded_seqs false / set_attr optimize_constant_0_flops false / set_attr optimize_constant_1_flops false / Disabilitare la rimozione dei FF non neecessari aumenta l area e il numero di celle istanziate. Un buon approccio è quello di lasciare tale proprietà attiva e proteggere dalla cancellazione i FF richiesti. 6

7 Ottimizzazione della logica combinatoria Per default, logica combinatoria che pilota pin non connessi ad altra logica viene ottimizzata (rimossa). Per preservare questa logica usare il comando: set_attr prune_unused_logic false <path to pins> D Q D Q * in1 * * in2 in3 1 1 out1 in4 0 1 b1 0 7

8 Preservare istanze e subdesign Usare il comando SDC set_dont_touch su un istanza gerarchica o un subdesign per forzare RC a preservare il mapping corrente. Equivalente RC set_attr preserve true [find /des* -instance alu2] Il valore di default di questo attributo è false. RC permette un alto livello di controllo di questo attributo; ad esempio si puo consentire: solo la rimozione solo il resizing solo il remapping solo remapping e resizing Il renaming non è permesso 8

9 Controllo della gerarchia: grouping e ungrouping Grouping Crea un nuovo livello di gerarchia. group -group_name CRITICAL_GROUP [find / -instance I1] [find / -instance I2] Ungrouping Rimuove un livello di gerarchia. ungroup [find / -instance CRITICAL_GROUP] ungroup -threshold 500 9

10 Approccio bottom-up Sintetizzare prima i blocchi a livello gerarchico più basso e poi il top-level read_hdl $FILE_LIST elaborate synthesize -to_mapped -effort low derive_environment DTMF_INST/DMA_INST cd dma synthesize -to_mapped -effort high dma cd /designs/dtmf_chip report timing change_link -inst [find / -inst DMA_INST] -design /designs/dma set_attr preserve [find / -inst DMA_INST] synthesize -to_mapped -effort medium 10

Sintesi logica - Constraints Alberto Scandurra

Sintesi logica - Constraints Alberto Scandurra Sintesi logica - Constraints Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy Specifica delle constraints Esistono due modi per specificare

Dettagli

Design For Testability (DFT) Alberto Scandurra

Design For Testability (DFT) Alberto Scandurra Design For Testability (DFT) Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy Agenda Testabilità dei sistemi VLSI Fault models Multiplexed

Dettagli

Low Power Design Alberto Scandurra

Low Power Design Alberto Scandurra Low Power Design Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy Agenda Il problema del consumo di potenza nei SoC Origine del consumo

Dettagli

Sintesi logica- Generalità Alberto Scandurra

Sintesi logica- Generalità Alberto Scandurra Sintesi logica- Generalità Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy Agenda Definizioni Flusso di sintesi Sintesi con Encounter

Dettagli

Reti logiche A All. Informatici (M-Z)

Reti logiche A All. Informatici (M-Z) Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a

Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a Reti logiche A All. Informatici (M-Z) Fabrizio Ferrandi a.a. 2003-2004 Contenuti - Progetto logico di sistemi digitali Metodologie di progetto per la realizzazione dei dispositivi di elaborazione costruire

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Elettronica dei Sistemi Digitali L-A

Elettronica dei Sistemi Digitali L-A Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Progettazione di Circuiti Digitali A.a. 2004-2005 Implementation Choices Digital Circuit Implementation Approaches Custom Semicustom

Dettagli

Monolithic Memories PAL. Dispositivi programmabili con matrice OR fissa e matrice AND programmabile I 1 I 2 PROGR. I 3 O 1 O 2 O 3 O 4 FISSA

Monolithic Memories PAL. Dispositivi programmabili con matrice OR fissa e matrice AND programmabile I 1 I 2 PROGR. I 3 O 1 O 2 O 3 O 4 FISSA Monolithic Memories PAL Dispositivi programmabili con matrice OR fissa e matrice AND programmabile I 1 I 2 PROGR. I 3 O 1 FISSA O 2 O 3 O 4 1 Caratteristiche Ciascun dispositivo si distingue per: n pin

Dettagli

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A Technology Dependance Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Introduzione al linguaggio VHDL F.Campi A.a. 2004-2005 Design Iteration Semicustom Design Flow Pre-Layout

Dettagli

Minimizzazione a più livelli di reti combinatorie Cristina Silvano

Minimizzazione a più livelli di reti combinatorie Cristina Silvano Minimizzazione a più livelli di reti combinatorie Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Milano (Italy) Sommario Modello booleano e modello algebrico

Dettagli

I Componenti di un Sistema Digitale e Progetto Controllore data path

I Componenti di un Sistema Digitale e Progetto Controllore data path I Componenti di un Sistema Digitale e Progetto Controllore data path Maurizio Palesi Maurizio Palesi 1 Buffer (o Driver) Dispositivo che non ha nessuna funzione logica, se non quella presentare l uscita

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello. livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello. livello Minimizzazione di Reti Logiche Combinatorie Multi-livello livello Maurizio Palesi Maurizio Palesi 1 Introduzione I circuiti logici combinatori sono molto spesso realizzati come reti multi-livello di porte

Dettagli

Flusso di progetto circuiti digitali

Flusso di progetto circuiti digitali Flusso di progetto circuiti digitali (anni 80/90) 1) Definizione Algoritmica : y=-x; 2) Schematic Entry 3) Custom Layout (place & route) 4) Parasitic extraction & Backannotation Il Design Productivity

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LS Bologna, 21 Novembre 2003 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Design for Testablity

Design for Testablity Design for Testablity Introduzione Design for Testability ovvero progettazione finalizzata al collaudo è l insieme delle tecniche di progettazione che vengono usate per rendere possibile o comunque migliorare

Dettagli

Computer Aided Design. Matteo Montani

Computer Aided Design. Matteo Montani Computer Aided Design Matteo Montani Sommario Gestione di progetti logici complessi Metodologie di progetto (sintesi - verifica) Strategie di implementazione di circuiti digitali - Full custom (layout)

Dettagli

Le memorie Cache n-associative

Le memorie Cache n-associative Le memorie Cache n-associative Prof. Alberto Borghese Dipartimento di Scienze dell Informazione alberto.borghese@unimi.it Università degli Studi di Milano Riferimento Patterson: 5.2, 5.3 1/30 Sommario

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Componenti combinatori speciali

Componenti combinatori speciali Componenti combinatori speciali M. Favalli Engineering Department in Ferrara Analisi e sintesi dei circuiti digitali / Sommario Decoder 2 Analisi e sintesi dei circuiti digitali 2 / Componenti speciali

Dettagli

Design for Testability (DFT): Scan

Design for Testability (DFT): Scan Design for Testability (DFT): Full-Scan Definizioni Metodi ad-hoc Scan design Regole di progetto Registri Flip-flops Scan test sequences Overhead Sistemi di progetto basati sulla scansione Sommario 1 Definizioni

Dettagli

Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Corso di Circuiti Integrati Anno Accademico 2016/2017

Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Corso di Circuiti Integrati Anno Accademico 2016/2017 Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Corso di Circuiti Integrati Anno Accademico 2016/2017 1 Introduzione In questo breve tutorial utilizzeremo la suite

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Architetture dei Dispositivi Programmabili Avanzati Altera

Architetture dei Dispositivi Programmabili Avanzati Altera Architetture dei Dispositivi Programmabili Avanzati Altera Elettronica dei Sistemi Digitali LA Cesena, 4 Febbraio 2005 Aldo Romani aromani@deis.unibo.it tel. 051 209 3811 Lab. Star-Arces, V.le Pepoli,

Dettagli

Il processore: unità di elaborazione

Il processore: unità di elaborazione Il processore: unità di elaborazione Architetture dei Calcolatori (lettere A-I) Progettazione dell unità di elaborazioni dati e prestazioni Le prestazioni di un calcolatore sono determinate da: Numero

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

4. I moduli in Access 2000/2003

4. I moduli in Access 2000/2003 LIBRERIA WEB 4. I moduli in Access 2000/2003 Il modulo è uno degli oggetti del database di Access e rappresenta un insieme di dichiarazioni e routine scritte con il linguaggio Visual Basic, memorizzate

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6 Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 2005-2006 Minimizzazione di circuiti combinatori

Dettagli

Sistemi Operativi. Bruschi Martignoni Monga. File system Astrazioni utente Metadati Tecniche implementative. Sistemi Operativi

Sistemi Operativi. Bruschi Martignoni Monga. File system Astrazioni utente Metadati Tecniche implementative. Sistemi Operativi 1 Mattia Lezione XXX: Dip. di Informatica e Comunicazione Università degli Studi di Milano, Italia mattia.monga@unimi.it a.a. 2008/09 1 c 2009 M.. Creative Commons Attribuzione-Condividi allo stesso modo

Dettagli

I circuiti binari: definizione delle funzioni logiche

I circuiti binari: definizione delle funzioni logiche I circuiti binari: definizione delle funzioni logiche Prof. lberto orghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano /38 Sommario Variabili ed operatori

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

Progettazione dell unità di elaborazioni dati e prestazioni. Il processore: unità di elaborazione. I passi per progettare un processore

Progettazione dell unità di elaborazioni dati e prestazioni. Il processore: unità di elaborazione. I passi per progettare un processore Il processore: unità di elaborazione Architetture dei Calcolatori (lettere A-I) Progettazione dell unità di elaborazioni dati e prestazioni Le prestazioni di un calcolatore sono determinate da: Numero

Dettagli

(b) LOGIC SYNTHESIS DESIGN FLOW

(b) LOGIC SYNTHESIS DESIGN FLOW 1 (b) LOGIC SYNTHESIS DESIGN FLOW ASIC HDL DIGITAL CIRCUITS DESIGN FLOW FPGA HDL DESIGN FLOW SINTESI DI TENTATIVO E SIMULAZIONE POST SINTESI DEL PROCESSORE MU0 OTTIMIZZAZIONE DELLA SINTESI DEL PROCESSORE

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello Minimizzazione di Reti Logiche Combinatorie Multi-livello Maurizio Palesi Maurizio Palesi 1 Introduzione I circuiti logici combinatori sono molto spesso realizzati come reti multi-livello di porte logiche

Dettagli

Architettura degli elaboratori CPU a ciclo singolo

Architettura degli elaboratori CPU a ciclo singolo Architettura degli elaboratori CPU a ciclo singolo Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento sul Patterson: capitolo

Dettagli

Le memorie Cache n-associative

Le memorie Cache n-associative Le memorie Cache n-associative Prof. Alberto Borghese Dipartimento di Scienze dell Informazione alberto.borghese@unimi.it Università degli Studi di Milano Riferimento Patterson: 5.2, 5.3 1/30 Sommario

Dettagli

Fondamenti di Informatica B

Fondamenti di Informatica B Fondamenti di Informatica B Lezione n. 8 Alberto Broggi Gianni Conte A.A. 2005-2006 Fondamenti di Informatica B DESCRIZIONE LIVELLO REGISTRO REGISTER TRANSFER LEVEL (RTL) I MODULI BASE RTL STRUTTURE DI

Dettagli

Architettura degli Elaboratori Lez. 8 CPU MIPS a 1 colpo di clock. Prof. Andrea Sterbini

Architettura degli Elaboratori Lez. 8 CPU MIPS a 1 colpo di clock. Prof. Andrea Sterbini Architettura degli Elaboratori Lez. 8 CPU MIPS a 1 colpo di clock Prof. Andrea Sterbini sterbini@di.uniroma1.it Argomenti Progetto della CPU MIPS a 1 colpo di clock - Istruzioni da implementare - Unità

Dettagli

Sistemi Operativi 1. Mattia Monga. 6 giugno Dip. di Informatica e Comunicazione Università degli Studi di Milano, Italia

Sistemi Operativi 1. Mattia Monga. 6 giugno Dip. di Informatica e Comunicazione Università degli Studi di Milano, Italia 1 Dip. di Informatica e Comunicazione Università degli Studi di Milano, Italia mattia.monga@unimi.it 6 giugno 2008 1 c 2008 M. Monga. Creative Commons Attribuzione-Condividi allo stesso modo 2.5 Italia

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Architettura degli Elaboratori Università degli Studi di Padova Facoltà di Scienze MM.FF.NN. Corso di Laurea in Informatica docente: Alessandro Sperduti Informazioni Generali Lucidi ed esercizi disponibili

Dettagli

Metodologie di progetto HW Livelli d astrazione

Metodologie di progetto HW Livelli d astrazione Metodologie di progetto HW Livelli d astrazione Diagramma Y Flusso di sintesi Livelli di astrazione Versione del 15/03/06 Domini di rappresentazione dei circuiti: funzionale strutturale e fisico Tecnologia

Dettagli

SHELL: File e Filesystem

SHELL: File e Filesystem SHELL: File e Filesystem Obiettivi : - Usare i comandi per muoversi all'interno del filesystem - Copiare, spostare, rinominare file e directory - Usare i link - Visualizzare il contenuto di un file - Conoscere

Dettagli

ISO Work Breakdown Structures for Project and Programme Management. DTI Dipartimento Tecnologie Innovative Formazione Continua

ISO Work Breakdown Structures for Project and Programme Management. DTI Dipartimento Tecnologie Innovative Formazione Continua DTI Dipartimento Tecnologie Innovative Formazione Continua ISO 21511 Work Breakdown Structures for Project and Programme Management Aris Arrigoni Ente Ospedaliero Cantonale 11 aprile 2017 Per informazioni

Dettagli

Algebra e circuiti elettronici

Algebra e circuiti elettronici Algebra e circuiti elettronici I computer operano con segnali elettrici con valori di potenziale discreti Sono considerati significativi soltanto due potenziali (high/ low); i potenziali intermedi, che

Dettagli

CdL in Medicina Veterinaria - STPA AA

CdL in Medicina Veterinaria - STPA AA CdL in Medicina Veterinaria - STPA AA 2007-08 I Files I files I Files sono l unità base di informazione nell interazione tra utente e sistema operativo Costituito da un insieme di byte (di natura omogenea)

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Sistemi Operativi FILE SYSTEM : INTERFACCIA. D. Talia - UNICAL. Sistemi Operativi 8.1

Sistemi Operativi FILE SYSTEM : INTERFACCIA. D. Talia - UNICAL. Sistemi Operativi 8.1 FILE SYSTEM : INTERFACCIA 8.1 Interfaccia del File System Concetto di File Metodi di Accesso Struttura delle Directory Montaggio del File System Condivisione di File Protezione 8.2 Concetto di File File

Dettagli

VHDL - Introduzione. //

VHDL - Introduzione. // VHDL - Introduzione VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuits Scopo presentazione: introdurre un sottoinsieme del sottoinsieme del linguaggio VHDL utilizzabile

Dettagli

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali ESERCITAZIONE 4.5 Approfondimento Circuiti Logici e Sequenziali 2 Approfondimento: multiplexer 3 Multiplexer: soluzione alternativa Multiplexer: composizione interna 4 Multiplexer: soluzione alternativa

Dettagli

I file utente sistema operativo nome

I file utente sistema operativo nome I file I File sono l unità base di informazione nell interazione tra utente e sistema operativo Un file e costituito da un insieme di byte attinenti ad un unica entità logica fino a un po di tempo fa i

Dettagli

Logica Sequenziale. Modulo 5

Logica Sequenziale. Modulo 5 Logica Sequenziale Modulo 5 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica sequenziale Un blocco di logica sequenziale

Dettagli

Instruzione Opcode Effetto

Instruzione Opcode Effetto datapath l esecuzione dell istruzione prevede un un numero di di cicli di di clock pari agli agli accessi in in memoria necessari 2 accessi accesso Instruzione Opcode Effetto LDA S 0000 := mem 6 [S] STO

Dettagli

Prova Scritta di Basi di Dati

Prova Scritta di Basi di Dati Prova Scritta di Basi di Dati 17 Febbraio 2004 NOME: COGNOME: MATRICOLA: Cercare di risolvere gli esercizi sul foglio del testo. Esercizio Punti previsti 1 9 2 12 3 9 3 3 Totale 33 Punti assegnati Esercizio

Dettagli

Circuiti combinatori notevoli

Circuiti combinatori notevoli Circuiti combinatori notevoli Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimenti: Sezione C3. 1/33 Sommario Implementazione

Dettagli

D2x - Presentazione della lezione D2. D2a STADI DI USCITA

D2x - Presentazione della lezione D2. D2a STADI DI USCITA D2x - Presentazione della lezione D2 /- Obiettivi! conoscere diverse forme di stadi di uscita di dispositivi logici! saper calcolare resistori di pull-up per open collector! saper eseguire calcoli di fanout!

Dettagli

Il processore minimo MU0. S. Salvatori - Microelettronica marzo 2019 (50)

Il processore minimo MU0. S. Salvatori - Microelettronica marzo 2019 (50) Il processore minimo S. Salvatori - Microelettronica marzo 209 (50) Il processore minimo Il tipo più semplice di processore prevederà: un, Program Counter, per puntare all istruzione da eseguire un, Instruction

Dettagli

Linguaggio macchina e register file

Linguaggio macchina e register file Linguaggio macchina e register file Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento sul Patterson: capitolo 4.2, 4.4,

Dettagli

Progettazione e sintesi di circuiti digitali

Progettazione e sintesi di circuiti digitali Progettazione e sintesi di circuiti digitali Lezione 18 quinta esercitazione in laboratorio seconda parte 13/04/2016 A. Neviani - P.S.C.D. Contenuti dell'esercitazione In questa esercitazione: rivedremo

Dettagli

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Reti Sincrone Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Le variabili di stato future sono quelle all ingresso dei FF-D mentre le variabili di stato presente sono le uscite dei

Dettagli

Processore. Memoria I/O. Control (Parte di controllo) Datapath (Parte operativa)

Processore. Memoria I/O. Control (Parte di controllo) Datapath (Parte operativa) Processore Memoria Control (Parte di controllo) Datapath (Parte operativa) I/O Memoria La dimensione del Register File è piccola registri usati per memorizzare singole variabili di tipo semplice purtroppo

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ EGLI STUI I PARMA FACOLTÀ I INGEGNERIA Corso di Reti Logiche A anno accademico 2007-2008 prof. Stefano CASELLI prof. William FORNACIARI Appello dell 8 Gennaio 2008 Bozza soluzioni del 07.01.2008

Dettagli

File System Unix. Generalità

File System Unix. Generalità File System Unix Generalità File System: Caratteristiche Struttura gerarchica Files senza struttura (byte strems) Protezione da accessi non autorizzati Semplicità di struttura "On a UNIX system, everything

Dettagli

Organizzazione Fisica dei Dati (Parte II)

Organizzazione Fisica dei Dati (Parte II) Modello Fisico dei Dati Basi di Dati / Complementi di Basi di Dati 1 Organizzazione Fisica dei Dati (Parte II) Angelo Montanari Dipartimento di Matematica e Informatica Università di Udine Modello Fisico

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Laboratorio di Basi di Dati

Laboratorio di Basi di Dati Laboratorio di Basi di Dati Docente: Alberto Belussi Lezione 10 Architettura Model-View-Controller (MVC) L'architettura MVC può essere applicata anche alla tecnologia PHP secondo diversi approcci. In questa

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Progettazione Digitale: Modellazione dei ritardi e mapping tecnologico

Progettazione Digitale: Modellazione dei ritardi e mapping tecnologico Progettazione Digitale: Modellazione dei ritardi e mapping tecnologico Prof. Antonino Mazzeo A cura di: Ph.D., Ing. Alessandra De Benedictis, alessandra.debenedictis@unina.it Testi di riferimento: Franco

Dettagli

Esercitazione di Elettronica Per la Telematica. Trasmettitore BPSK

Esercitazione di Elettronica Per la Telematica. Trasmettitore BPSK Esercitazione di Elettronica Per la Telematica Proff. C. Abbate, F. Iannuzzo - A.A. 2013/2014 Trasmettitore BPSK In questa esercitazione si realizzerà un trasmettitore BPSK. Il progetto da implementare

Dettagli

interfacing C/C++/FORTRAN

interfacing C/C++/FORTRAN interfacing C/C++/FORTRAN Simone Campagna 12/20/2011 python interfacing C/C++ Simone Campagna CINECA 1 pimp your python code/1 Python è un linguaggio relativamente lento, se confrontato con C/C++/FORTRAN.

Dettagli

Minimizzazione di circuiti combinatori multilivello

Minimizzazione di circuiti combinatori multilivello LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 5 Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 007-008 Minimizzazione di circuiti combinatori multilivello

Dettagli

Docker Corsi Linux 2019

Docker Corsi Linux 2019 Docker Corsi Linux 2019 Lorenzo Prosseda lerokamut@gmail.com 28 marzo 2019 La piattaforma Docker La piattaforma Docker A chi può tornare utile? La piattaforma Docker A chi può tornare utile? Sviluppatori

Dettagli

VHDL: Simulazione & Sintesi

VHDL: Simulazione & Sintesi VHDL: Simulazione & Sintesi Politecnico di Milano 18 Dicembre 2012 M. CARMINATI mcarminati@elet.polimi.it SIMULAZIONE VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 2 TESTBENCH Dopo aver

Dettagli

CPU a singolo ciclo. Lezione 18. Sommario. Architettura degli Elaboratori e delle Reti

CPU a singolo ciclo. Lezione 18. Sommario. Architettura degli Elaboratori e delle Reti Architettura degli Elaboratori e delle Reti Lezione 18 CPU a singolo ciclo Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 18 1/2 Sommario!

Dettagli

Capitolo 5 Elementi architetturali di base

Capitolo 5 Elementi architetturali di base Capitolo 5 Elementi architetturali di base Giuseppe Lami Istituto di Scienza e Tecnologie dell Informazione CNR Via Moruzzi, 1 - Pisa giuseppe.lami@isti.cnr.it Struttura - Unità di elaborazione e controllo

Dettagli

Tecniche Analogiche e tecniche Digitali

Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Tecniche Analogiche e tecniche Digitali Da rappresentazione Analogica a Digitale Trattamento dell'informazione Esercizi riepilogativi 2 2005 Politecnico di Torino

Dettagli

7. I linguaggi descrittivi HDL.

7. I linguaggi descrittivi HDL. Marcello Salmeri - Progettazione Automatica di Circuiti e Sistemi Elettronici Capitolo 7-1 7. I linguaggi descrittivi HDL. Introduzione. I linguaggi descrittivi HDL (Hardware Description Language) nascono

Dettagli

Architetture aritmetiche

Architetture aritmetiche Architetture aritmetiche Sommatori: : Full Adder, Ripple Carry Sommatori: Carry Look-Ahead Ahead, Carry Save, Add/Subtract Moltiplicatori: Combinatori, Wallace,, Sequenziali Circuiti per aritmetica in

Dettagli

Architetture Digitali

Architetture Digitali Corso di Lezione 3 Sintesi RTL Federico Pedersini Laboratorio di (DALab) Dipartimento di Scienze dell Informazione Università degli Studi di Milano Riferimenti bibliografici: [1] F. Fummi, M. Sami, C.

Dettagli

Progettazione e sintesi di circuiti digitali

Progettazione e sintesi di circuiti digitali Progettazione e sintesi di circuiti digitali Lezione 24 settima esercitazione in laboratorio 13/04/2016 A. Neviani - P.S.C.D. Contenuti dell'esercitazione In questa esercitazione: eseguiremo la sintesi

Dettagli

Indice. Prefazione. sommario.pdf 1 05/12/

Indice. Prefazione. sommario.pdf 1 05/12/ Prefazione xi 1 Introduzione 1 1.1 Evoluzione della progettazione dei sistemi digitali 1 1.2 Flusso di progettazione dei sistemi digitali 2 1.3 Obiettivi del libro 6 1.4 Struttura ragionata del libro 7

Dettagli

Moltiplicatori HW e ALU

Moltiplicatori HW e ALU Moltiplicatori HW e ALU Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimenti: Appendice B5 prima parte. Per approfondimenti

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

interfacing C/C++/FORTRAN

interfacing C/C++/FORTRAN interfacing C/C++/FORTRAN Simone Campagna 1 pimp your python code/1 Python è un linguaggio relativamente lento, se confrontato con C/C++/FORTRAN. Questo deriva dal fatto che è di alto livello, con tipizzazione

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Architettura degli Elaboratori Università degli Studi di Padova Scuola di Scienze Corso di Laurea in Informatica docente: Alessandro Sperduti Informazioni Generali Lucidi ed esercizi disponibili in formato

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Reti logiche (2) Circuiti sequenziali

Reti logiche (2) Circuiti sequenziali Reti logiche (2) Circuiti sequenziali 1 Un ripasso Algebra booleana: operatori, postulati, identità, operatori funzionalmente completi Circuiti combinatori: tabelle di verità, porte logiche Decodificatore

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori Architettura degli Elaboratori Università degli Studi di Padova Scuola di Scienze Corso di Laurea in Informatica docenti: Silvia Crafa, Nicolò Navarin (lab), Alessandro Sperduti Docenti Silvia Crafa Nicolò

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

La pipeline. Sommario

La pipeline. Sommario La pipeline Prof. Alberto Borghese Dipartimento di Scienze dell Informazione alberto.borghese@unimi.it Università degli Studi di Milano Riferimento al Patterson edizione 5: 4.5 e 4.6 1/28 http:\\borghese.di.unimi.it\

Dettagli

Problemi complessi devono essere decomposti via via in problemi sempre più semplici per poter essere risolti

Problemi complessi devono essere decomposti via via in problemi sempre più semplici per poter essere risolti Funzioni nella programmazione Una funzione è una sequenza di istruzioni cui viene dato un nome Questo permette di riutilizzare tale sequenza di istruzioni in più parti del proprio programma (o in altri

Dettagli

What s new? Quattro passi nella nuova versione

What s new? Quattro passi nella nuova versione What s new? Quattro passi nella nuova versione 1 Restyling completo Con la versione X6 l interfaccia grafica, sia per la parte gestionale che in AutoCAD, cambia volto. Contenuti e informazioni ripensati

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

File-System! Introduzione al File-System! Struttura dei File! Concetto di File!

File-System! Introduzione al File-System! Struttura dei File! Concetto di File! File-System Introduzione al File-System Dal punto di vista dell utente File Directory Metodi e strutture per garantire accesso organizzazione condivisione protezione su/ai dati memorizzati Operating System

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli