ISTITUTO NAZIONALE DI FISICA NUCLEARE

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "ISTITUTO NAZIONALE DI FISICA NUCLEARE"

Transcript

1 ISTITUTO NAZIONALE DI FISICA NUCLEARE Sezi one di Tri est e INFN- TS/ TCN- 07/ Novembre 2007 CARATTERIZZAZIONE DEL CAVO DI TRASMISSIONE DATI DA UTILIZZARE NEL SISTEMA DI ACQUISIZIONE DEL TELESCOPIO DI FASCIO DI SLIM5 Pietro Cristaudo 1 e Lorenzo Vitale 2 1) INFN-Sezione di Trieste, Laboratorio di Elettronica 2) Università di Trieste e INFN-Sezione di Trieste Sommari o Questo documento descrive le misure effettuate per la caratterizzazione del cavo di trasmissione dati lungo 30m da utilizzare nella catena di acquisizione dati del telescopio di fascio.

2 2 1. INTRODUZIONE Questo documento descrive le misure effettuate per la caratterizzazione del cavo di trasmissione dati di 30m da utilizzare nella catena di acquisizione dati del telescopio di fascio. Il cavo è stato realizzato su nostre specifiche dalla ditta inglese Selectronix [1]. Lo scopo è di verificare che sia possibile la trasmissione dei segnali a 120Mbit/sec e che i driver LVDS all interno dei chip FSSR2 riescano a pilotare il carico costituito dal cavo stesso. Le misure effettuate riguardano: il ritardo di linea, il ritardo tra due segnali di una stessa linea LVDS, ricezione segnale LVDS, jitter, ricezione segnale LVDS Outclk e ricezione segnale LVDS Out1. Le prove sono state effettuate sulla catena di acquisizione realizzata a Trieste e divise in due parti; una prima, descritta nel paragrafo 2, dove nella catena di acquisizione viene utilizzata una scheda di front-end senza i chip FSSR2 (Dummy board), ed una seconda, descritta nel paragrafo 3, dove viene utilizzata una scheda di front-end con i tre chip FSSR2 montati. 2. MISURE CON DUMMY BOARD Il set up di misura è costituito dai seguenti componenti: a) analizzatore di stati logici + pattern generator Agilent pod clk LVDS (IC driver TxI SN65LVDS179/3.3V) e pod data LVDS (IC driver TxI SN65LVDS387/ 3.3V b) oscilloscopio Lecroy WAVEPRO960 + sonde PP005 10:1 500MHz 10MΩ 11pF + sonda HFP2500; c) circuito prototipo con ricevitore LVDS 90LV032 (National d) cavo SCSI 3m utilizzato per confronto; e) cavo SCSI 30m Selectronix; f) circuito ADAPTER CARD; g) cavo twist end flat 1,5m; h) circuito stampato FSSR2 BOARD con montate le resistenze di terminazione da 100Ω; I punti e, f, g, h costituiscono parte della catena di lettura che verrá utilizzata nel test-beam. In figura 1 è riportato lo schema di massima del set-up di prova, mentre in figura 2 è mostrata la foto del particolare delle schede con i cavi.

3 3 Cavo 30m AGILENT A N P A DATAPOD Adapter card A T L Y T E CLK POD Z. R N Cavo flat 1,5m Oscilloscopio Lecroy Wavepro960 RX LVDS FSSR2 BOARD Fi gura1 Fi gura 2

4 Ri t ardo di propagazi one dei segnal i Nei paragrafi 2.1, 2.2 e 2.3 le misure con l oscilloscopio sono state fatte utilizzando le sonde modello PP005. Il DATA POD LVDS è stato collegato al connettore J11 Adapter Board. Mediante il Pattern Generator è stata inviata una sequenza di segnali LVDS sulla linee SHIN, MCLKA, SHCNTRL, MCLKB. Si è misurato il ritardo tra il segnale presente sul pin 14 di J7 e lo stesso segnale sulla resistenza di terminazione R9 della FSSR2 BOARD. In figura 3 e 4 sono riportate le forme d onda con il valore del ritardo e l ampiezza del segnale sulla resistenza di terminazione. Il ritardo misurato è stato di 152ns. Fi gura 3

5 5 Fi gura 4 Si è ripetuta la misura verificando il ritardo tra il segnale presente sul pin 14 di J7 e lo stesso segnale sul pin 14 di J7 della Adapter Card. In figura 5 e 6 sono riportate le forme d onda con il valore del ritardo e l ampiezza del segnale sulla resistenza di terminazione. Il ritardo misurato è stato di 142ns. Fi gura 5

6 6 Fi gura Ri t ardo t ra segnal i In figura 7 è mostrata la forma d onda dei due segnali positivi LVDS delle due coppie misurati su un terminale di due resistenze di terminazione della scheda FSSR2 BOARD; dalla figura risulta una differenza temporale nulla. Fi gura 7

7 Ri cezi one segnal e LVDS È stato collegato: - segnale CLK LVDS del CLK POD su connettore J11 in corrispondenza di MCLKB rispettando le polaritá; - segnale D0 LVDS del DATA POD su connettore J11 in corrispondenza di SHIN rispettando le polaritá. Un canale del circuito con il ricevitore LVDS 90LV032 alimentato a 3V è stato collegato ai capi della resistenza di terminazione della linea MCLKB e un altro ai capi della resistenza di terminazione della linea SHIN. Inviati dal Logic State Analyzer una sequenza di dati a 70MHz e verificato che il circuito converta il segnale LVDS in un segnale LVTTL, vedere figura 8 e 9. Fi gura 8

8 8 Fi gura Ji t t er La qualitá del segnale alla fine della catena è data anche dalla misura del jitter che è ottenuta con una misura dell eye pattern. La misura è stata fatta guardando i segnali all uscita del ricevitore LVDS con le sonde modello PP005. Per eseguire questa misura si è impostato sul pattern generator: - la trasmissione di una sequenza pseudo random di 512bit, con ogni dato che ha la durata del periodo di clock. - il clock settato a 70MHz. Il clk LVDS del clk pod è stato mandato ad un ingresso del circuito con ricevitore e l uscita TTL, con un cavetto lemo, come trigger all oscilloscopio. Il pod data è collegato al connettore J11 della Adapter Board. Triggerandosi sul clok generato dal clk pod e collegando la sonda all uscita del ricevitore LVDS si ottiene sull oscilloscopio le immagini di figura 10 e 11. Il jitter misurato è di 1,6ns.

9 9 Fi gura 10 Fi gura 11 In figura 12 e 13 sono mostrati i segnali differenziali (traccia 3 e 2) all ingresso del ricevitore LVDS visti ai capi della resistenza di terminazione da 100Ω, la traccia C mostrata il segnale differenza. Il jitter misurato è di 1,6ns.

10 10 Fi gura 12 Fi gura 13

11 11 In figura 14 e 15 sono mostrati le misure ripetute per una frequenza di 50MHz e 25MHz. Fi gura 14 Fi gura 15

12 12 È stato sostituito, all uscita del ricevitore LVDS, il cavetto lemo del clock (utilizzato come trigger) con la sonda di alta frequenza HFP2500; il segnale dati è stato misurato all uscita della scheda con il ricevitore LVDS con la sonda modello PP005. Sono state fatte le misure con un rate dei dati di 140Mbit/s (7,14ns), 70Mbit/s (14,28ns) e 35Mbit/s (28,56ns). La misura sono state fatte con il cavo SCSI lungo 30m e con un cavo SCSI lungo 3m. I segnali sono riportati nelle figura 16, 17, 18, 19, 20, 21. Nella tabella seguente si riportano i valori di jitter (calcolato come metá del intervallo misurato) ed il jitter percentuale calcolato come rapporto tra il jitter misurato ed la lunghezza del bit pari all inverso del bit rate. Normalmente il valore massimo di jitter percentuale accettabile è pari al 20%. Cavo 30m Cavo 3m Dat a rat e Ji t t er %Ji t t e Ji t t er %Ji t t er r 140Mbit/s 1.3ns 18% 1ns 14% 70Mbit/s 0.975ns 7% 1.1ns 7.7% 35Mbit/s 1.8ns 6% 1ns 3.5% I valori trovati sono inferiori al valore massimo. Fi gura 16

13 13 Fi gura 17 Fi gura 18

14 14 Fi gura 19 Fi gura 20

15 15 Fi gura 21 3 MISURE CON SCHEDA CON FSSR2 Il set up di misura è costituito dai seguenti componenti: a) oscilloscopio Lecroy WAVERUNNER6200A + sonda diff. AP034 + sonda HFP2500; b) circuito prototipo con ricevitore LVDS 90LV032 (National c) scheda di valutazione Spartan 3E (Avnet codice: ADSADS-XLX-SP3E- EVL100) d) cavo SCSI 30m Selectronix; e) circuito ADAPTER CARD; f) cavo twist end flat 1,5m; g) circuito stampato FSSR2 BOARD con montato un chip FSSR2; La FPGA presente nella scheda di valutazione genera i due clock LVDS MclkA e MclkB, sfasati tra loro di 90 ed il segnale di Master Reset. Per ottenere la frequenza di 50MHz e 60MHz è stata programmata con due firmware diversi. Il listato è riportato in Appendice. I punti d, e, f, g costituiscono parte della catena di lettura che verrá utilizzata nel test-beam. In figura 22 è riportato lo schema di massima del set-up di prova, mentre in figura 23 è mostrata la foto del particolare delle schede con i cavi. Sul cavo da 30m durante le misure saranno presenti i segnali: - MclkA, MclkB, MasterReset dalla scheda di valutazione Spartan 3E alla Box con la scheda FSSR2;

16 16 - Outclk_U2, Out1_U2 dalla scheda FSSR2 dalla scheda di valutazione Spartan 3E Scheda valutazione Spartan 3E Adapter card Cavo 30m RX LVDS Cavo flat 1,5m Oscilloscopio Lecroy WaveRunner 9600 FSSR2 BOARD Fi gura22 Fi gura 23

17 Ri cezi one segnal e LVDS Out cl k da FSSR2 chi p È stato collegato: - connettore flat cable scheda Spartan 3E al connettore J11; - connettore con resistenze di terminazione su connettore J13 in corrispondenza di U2_outclk e U2_out1; - sonda HFP2500 tra U2_outclk+ e GND connettore J12; - sonda diff. AP034 tra U2_outclk+ e U2_outclk- connettore J13. Nelle figure 24 e 25 sono riportate le forme d onda dell outclk dal chip FSSR2 per due diverse frequenze di MclkA e MclkB: 50MHz e 60MHz. Il canale C2 (viola) mostra il segnale Outclk all ingresso del cavo, il canale C1 (verde) mostra lo stesso segnale alla fine del cavo di 30m, la forma è dovuta alla capacitá del cavo. L ampiezza del segnale all uscita del cavo risulta di 330mV. Questo valore è nei limiti dei dei parametri LVDS, tipico 350mV. Figura 24

18 18 Figura 25 Si è proceduto alla verifica della corretta conversione del segnale LVDS alla fine del cavo da parte di un ricevitore commerciale. Sono state tolte dal connettore J13 le resistenze di terminazione e montato il circuito con il ricevitore LVDS 90LV032, alimentato a 3V. I canali 1 e 2 sono stati collegati rispettivamente a U2_outclk e U2_out1. Nelle figure 26 e 27 sono riportate le forme d onda dell outclk dal chip FSSR2 per due diverse frequenze di MclkA e MclkB: 50MHz e 60MHz. Il canale C1 (verde) mostra il segnale Outclk all ingresso del cavo, il canale C2 (viola) mostra lo stesso segnale all uscita del ricevitore LVDS montato alla fine del cavo di 30m. Come si puó notare il segnale di clock LVDS viene traslato correttamente ad entrambe le frequenze. Figura 26

19 19 Figura Ri cezi one segnal e LVDS Out 1 da FSSR2 chi p È stato collegato: - il connettore con resistenze di terminazione su connettore J13 in corrispondenza di U2_outclk e U2_out1; - sonda HFP2500 tra U2_outclk+ e GND connettore J12; - sonda diff. AP034 tra U2_out1+ e U2_out1- connettore J13. L oscilloscopio è stato triggerato su U2_outclok; si ottengono sull oscilloscopio le immagini di figura 28 e 29 rispettivamente per una frequenza di Outclk di 50MHz (bit rate 100Mb/sec) e 60MHz (bit rate 120Mb/sec). Si fa notare che i dati in uscita da OUT1 sono quelli che si trovano dopo l accensione del sistema e con l FSSR2 nello stato di default. Figura 28

20 20 Il jitter misurato è di 700ps. Il jitter misurato è di 740ps. Figura 29 Tolto dal connettore J13 le resistenze di terminazione e montato il circuito con il ricevitore LVDS 90LV032 alimentato a 3V. I canali 1 e 2 sono stati collegati rispettivamente a U2_outclk e U2_out1. Nelle figure 30 e 31 sono riportate le forme d onda dell out1 dal chip FSSR2 per due diverse frequenze di MclkA e MclkB: 50MHz e 60MHz. Il canale C1 (verde) mostra il segnale Out1 all ingresso del cavo, il canale C2 (viola) mostra lo stesso segnale all uscita del ricevitore LVDS montato alla fine del cavo di 30m. Come si puó notare il segnale di Out1 LVDS viene traslato correttamente ad entrambe le frequenze. Figura 30

21 21 Figura 31 4 CONCLUSIONI Da queste misure preliminari si è confidenti che la catena di lettura provata possa operare al rate massimo previsto di 120Mbit/s e che i driver LVDS del chip FSSR2 riescono a pilotare il cavo SCSI di 30m alla frequenza di 60MHZ. Va comunque detto che il set-up usato in queste misure differisce dal sistema finale che verrà usato nel test beam perchè mancante della scheda di acquisizione, al momento in fase di sviluppo 5 RIFERIMENTI [ 1] Sel ect roni x: www. sel ect roni x. co. uk

22 22 APPENDICE Project : FSSR2 Cmd -- File name : TOP_FSSR2_CMD.vhd -- Title : FSSR2 COMMAND -- Description : Master to initiaization of FSSR2 chip -- implemented on Spartan 3-E ev. board -- Clock frequency = 100 Mhz -- Target Devices: xcs100e-5tq Tool versions: Xilinx ISE 8.2i Revisions : -- Date Author Revision Comments -- Thu Nov Cristaudo P. Rev 0 Creation -- Lab. Elettronica INFN Trieste LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.numeric_std.all; library UNISIM; use UNISIM.VComponents.all; entity TOP_FSSR2_CMD_60MHz is port ( -- NOTE signals active low end with "_n" -- FSSR2 signal: -- mclka : master clock A -- mclkb : master clock B -- bcoclk : clock of interface -- shin : serial data in -- shcntrl : enable signal -- mstrs: master reset mclka_p : out std_logic; mclka_n : out std_logic; mclkb_p: out std_logic; mclkb_n: out std_logic; bcoclk_p: out std_logic; bcoclk_n: out std_logic; shin_p : out std_logic; shin_n : out std_logic; shcntrl_p : out std_logic; shcntrl_n : out std_logic; mstrs_p : out std_logic;

23 23 mstrs_n : out std_logic; -- Input -- reset : reset -- clk : clock -- sw_start : start the initialization sequense of FSSR2 reset : in std_logic; clk : in std_logic; sw_start : in std_logic end TOP_FSSR2_CMD_60MHz; architecture RTL of TOP_FSSR2_CMD_60MHz is component FSSR2cmd port( sh_cntrl : out std_logic; sh_in : out std_logic; reset : in std_logic; clk : in std_logic; start : in std_logic end component; component clk_managment port ( sysclk : in STD_LOGIC; -- System Clock 100MHz bcoclk : out STD_LOGIC; -- 2MHz mclka: out STD_LOGIC; -- 60Mhz mclkb : out STD_LOGIC -- 60Mhz 180 degrade end component; signal bcoclk, bcoclkx2, mclka, mclkb, shin, shcntrl: std_logic; BEGIN FSSR2: FSSR2cmd port map ( sh_cntrl => shcntrl, sh_in => shin, reset => reset, clk => bcoclk, start => sw_start

24 24 CLKGEN: clk_managment port map ( sysclk => clk, bcoclk => bcoclkx2, mclka => mclka, mclkb => mclkb OBUF_D : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map ( O => bcoclk_p, -- Clock BCOCLK output OB => bcoclk_n, I => bcoclk -- Clock input OBUF_D1 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map ( O => mclka_p, -- Clock MclkA output OB => mclka_n, I => mclka -- Clock MclkA input OBUF_D2 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map ( O => mclkb_p, -- Clock MclkB output OB => mclkb_n, I => mclkb -- Clock MclkB OBUF_D3 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map ( O => shcntrl_p, -- Shcntrl output OB => shcntrl_n, I => shcntrl -- Shcntrl input OBUF_D4 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map ( O => shin_p, -- Shin output OB => shin_n, I => shin -- shin input

25 25 OBUF_D5 : OBUFDS generic map (IOSTANDARD => "LVDS_25") port map ( O => mstrs_p, -- MASTER RESET output OB => mstrs_n, I => reset -- MASTER RESET input BcoClockdev: process (bcoclkx2, reset) begin if (reset = '1') then bcoclk <= '0'; elsif rising_edge (bcoclkx2) then bcoclk <= not bcoclk; end if; end process; end RTL;

26 26 LIBRARY ieee; USE ieee.std_logic_1164.all; -- USE work.fssr2_reg.all; Project : FSSR2 Cmd -- File name : FSSR2cmd.vhd -- Title : FSSR2 Cmd -- Description : Simple initialization of FSSR2 chip -- Target Devices: xcs100e-5tq Tool versions: Xilinx ISE 8.2i Revisions : -- Date Author Revision Comments -- Tue October Cristaudo P. Rev 0 Creation -- Lab. Elettronica INFN Trieste entity FSSR2Cmd is port ( -- NOTE signals active low end with "_n" -- sh_cntrl : chip select -- sh_in : serial data sh_cntrl : out std_logic; sh_in : out std_logic; -- Input MASTER -- reset : reset -- clk : clock -- start: start the initialization process reset : in std_logic; clk : in std_logic; start : in std_logic end FSSR2Cmd; architecture MAIN of FSSR2Cmd is type state_type is (idle, alines, waiting, rejhit, waiting1, scr, waiting2, senddata signal state: state_type; signal idata: std_logic_vector (14 downto 0 constant NUMLINES : std_logic_vector (4 downto 0) := "10000"; constant SENDATA : std_logic_vector (4 downto 0) := "10011"; constant RJHITS : std_logic_vector (4 downto 0) := "10100";

27 27 constant SMCRS : std_logic_vector (4 downto 0) := "11100"; constant WILDREG : std_logic_vector (4 downto 0) := "10101"; -- Instruction Code constant WRITE : std_logic_vector (2 downto 0) := "001"; constant SET : std_logic_vector (2 downto 0) := "010"; constant READ : std_logic_vector (2 downto 0) := "100"; constant RS : std_logic_vector (2 downto 0) := "101"; constant DEFAULT : std_logic_vector (2 downto 0) := "110"; begin FSSR2_tx: process (clk, reset) variable count : integer; begin if (reset = '1') then state <= idle; elsif rising_edge (clk) then case state is when idle => if (start = '1')then state <= alines; idata <= (WILDREG & NUMLINES & WRITE & "01" -- 2 output pair lines count := 0; else state <= idle; end if; when alines => -- ALINES if (count = 14) then state <= waiting; count := 0; else state <= alines; count := count + 1; idata <= (idata (13 downto 0) & '0' -- Shift out the data end if; when waiting => if (count = 4) then state <= rejhit; idata <= (WILDREG & RJHITS & RS & "00" -- no rejects count := 0;

28 28 else state <= waiting; count := count + 1; end if; when rejhit => -- Reset REJECTS HIT if (count = 12) then state <= waiting1; count := 0; else state <= rejhit; count := count + 1; idata <= (idata (13 downto 0) & '0' -- Shift out the data end if; when waiting1 => if (count = 4) then state <= scr; idata <= (WILDREG & SMCRS & SET & "00" -- enable send data count := 0; else state <= waiting1; count := count + 1; end if; when scr => -- SMAT CORE RESET if (count = 12) then state <= waiting2; count := 0; else state <= scr; count := count + 1; idata <= (idata (13 downto 0) & '0' -- Shift out the data end if; when waiting2 => if (count = 4) then state <= senddata; idata <= (WILDREG & SENDATA & SET & "00" -- enable send data count := 0; else state <= waiting2; count := count + 1; end if;

29 29 when senddata => -- Enable SEND DATA if (count = 12) then state <= idle; count := 0; else state <= senddata; count := count + 1; idata <= (idata (13 downto 0) & '0' -- Shift out the data end if; when others => state <= idle; end case; end if; end PROCESS FSSR2_tx; -- Output decode signal WITH state SELECT sh_cntrl <= '1' when alines rejhit scr senddata, '0' when OTHERS; WITH state SELECT sh_in <= idata(14) when alines rejhit scr senddata, '0' when OTHERS; end MAIN;

30 Company: INFN TRIESTE Lab. Elettronica -- Engineer: Cristaudo Pietro Create Date: 07/11/ Design Name: -- Module Name: FSSR2 Clock Managment - Behavioral -- Project Name: FSSR2 Cmd -- Target Devices: xcs100e-5tq Tool versions: Xilinx ISE 8.2i -- Description: Clock Frequency of the board is 100MHz Dependencies: Revision: -- Revision File Created -- Additional Comments: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.Vcomponents.all; entity clk_managment is Port ( sysclk: in STD_LOGIC; -- System Clock 100MHz bcoclk: out STD_LOGIC; -- 2MHz mclka : out STD_LOGIC; -- 60Mhz mclkb : out STD_LOGIC -- 60Mhz 180 degrade end clk_managment; architecture Behavioral of clk_managment is signal clk, clkout0, clkfb0, clkdiv0, B_clkdiv0: STD_LOGIC; -- signal DCM0 signal clkout1, clkfb1: STD_LOGIC; -- signal DCM1 signal B_mclkA, B_mclkB, B_bcoclk: STD_LOGIC; begin INPUTBUF_inst1 : IBUF port map ( O => clk, -- Clock BCOCLK output I => sysclk -- Clock input

31 31 -- DCM: Digital Clock Manager Circuit for Virtex-II/II-Pro and Spartan-3/3E -- Xilinx HDL Language Template version 8.1i DCM_inst : DCM -- CLKFX_MULTIPLY => 3 CLKFX_MULTIPLY => 5 60MHz generic map ( CLKDV_DIVIDE => 2.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0, ,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0 CLKFX_DIVIDE => 5, -- Can be any interger from 1 to 32 CLKFX_MULTIPLY => 3, -- Can be any integer from 2 to 32 CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by -- two feature CLKIN_PERIOD => , -- Specify period of input clock in ps (10ns) CLKOUT_PHASE_SHIFT => "NONE", -- Specify phase shift of NONE, FIXED or -- VARIABLE CLK_FEEDBACK => "1X", -- Specify clock feedback of NONE, 1X or 2X DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_SYNCHRONOUS, SYSTEM_SYNCHRONOUS or -- an integer from 0 to 15 DFS_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for -- frequency synthesis DLL_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for -- DLL DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or -- FALSE FACTORY_JF => X"C080", -- FACTORY JF Values PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 STARTUP_WAIT => FALSE) -- Delay configuration DONE until DCM LOCK, -- TRUE/FALSE port map ( CLK0 => clkout0, -- 0 degree DCM CLK ouptput -- CLK180 => clkout0_180, degree DCM CLK output -- CLK270 => CLK270, degree DCM CLK output -- CLK2X => CLK2X, -- 2X DCM CLK output -- CLK2X180 => CLK2X180, -- 2X, 180 degree DCM CLK out --CLK90 => CLK90, degree DCM CLK output --CLKDV => clkdiv0, -- Divided DCM CLK out (CLKDV_DIVIDE) CLKFX => clkdiv0, -- DCM CLK synthesis out (M/D) --CLKFX180 => B_mclkB, degree CLK synthesis out -- LOCKED => LOCKED, -- DCM LOCK status output -- PSDONE => PSDONE, -- Dynamic phase adjust done output -- STATUS => STATUS, -- 8-bit DCM status bits output CLKFB => clkfb0, -- DCM clock feedback CLKIN => clk, -- Clock input (from IBUFG, BUFG or DCM) -- PSCLK => PSCLK, -- Dynamic phase adjust clock input -- PSEN => PSEN, -- Dynamic phase adjust enable input -- PSINCDEC => PSINCDEC, -- Dynamic phase adjust increment/decrement RST => '0' -- DCM asynchronous reset input

32 32 -- BUFG: Global Clock Buffer -- Virtex-II/II-Pro, Spartan-3/3E -- Xilinx HDL Language Template version 8.1i BUFG_inst : BUFG --Feedback circuit port map ( O => clkfb0, -- Clock output I => clkout0 -- Clock0 input BUFG_inst1 : BUFG port map ( O => B_clkdiv0, -- Clock to second DCM BCOCLKx2 I => clkdiv0 -- Clock input DCM_inst1 : DCM -- clock in 60MHz BcoClk = 4MHz CLKFX_DIVIDE = 30 CLKFX_MULTIPLY => 2 generic map ( CLKDV_DIVIDE => 5.0, -- Divide by: 1.5,2.0,2.5,3.0,3.5,4.0,4.5,5.0,5.5,6.0, ,7.5,8.0,9.0,10.0,11.0,12.0,13.0,14.0,15.0 or 16.0 CLKFX_DIVIDE => 30, -- Can be any interger from 1 to 32 CLKFX_MULTIPLY => 2, -- Can be any integer from 2 to 32 CLKIN_DIVIDE_BY_2 => FALSE, -- TRUE/FALSE to enable CLKIN divide by -- two feature CLKIN_PERIOD => , -- Specify period of input clock (100ns) CLKOUT_PHASE_SHIFT => "FIXED", -- Specify phase shift of NONE, FIXED or -- VARIABLE CLK_FEEDBACK => "1X", -- Specify clock feedback of NONE, 1X or 2X DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", -- SOURCE_ -- SYNCHRONOUS, --SYSTEM_SYNCHRONOUS -- or an integer from 0 to 15 DFS_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for -- frequency synthesis DLL_FREQUENCY_MODE => "LOW", -- HIGH or LOW frequency mode for -- DLL DUTY_CYCLE_CORRECTION => TRUE, -- Duty cycle correction, TRUE or -- FALSE FACTORY_JF => X"C080", -- FACTORY JF Values PHASE_SHIFT => 0, -- Amount of fixed phase shift from -255 to 255 STARTUP_WAIT => FALSE) -- Delay configuration DONE until DCM LOCK, -- TRUE/FALSE port map (

33 33 CLK0 => B_mclkA, -- 0 degree DCM CLK ouptput -- CLK180 => clkout1_180, degree DCM CLK output -- CLK270 => CLK270, degree DCM CLK output -- CLK2X => CLK2X, -- 2X DCM CLK output -- CLK2X180 => CLK2X180, -- 2X, 180 degree DCM CLK out CLK90 => B_mclkB, degree DCM CLK output -- CLKDV => clkdiv1, -- Divided DCM CLK out (CLKDV_DIVIDE) CLKFX => B_bcoclk, -- DCM CLK synthesis out (M/D) -- CLKFX180 => CLKFX180, degree CLK synthesis out -- LOCKED => LOCKED, -- DCM LOCK status output -- PSDONE => PSDONE, -- Dynamic phase adjust done output -- STATUS => STATUS, -- 8-bit DCM status bits output CLKFB => clkfb1, -- DCM clock feedback CLKIN => B_clkdiv0, -- Clock input (from IBUFG, BUFG or DCM) -- PSCLK => PSCLK, -- Dynamic phase adjust clock input -- PSEN => PSEN, -- Dynamic phase adjust enable input -- PSINCDEC => PSINCDEC, -- Dynamic phase adjust increment/decrement RST => '0' -- DCM asynchronous reset input -- OUTBUF: Global Output Clock Buffer -- Virtex-II/II-Pro, Spartan-3/3E -- Xilinx HDL Language Template version 8.1i BUFG_inst2 : BUFG port map ( O => mclka, -- Clock MCLKA output I => B_mclkA -- Clock input BUFG_inst3 : BUFG port map ( O => mclkb, -- Clock MCLKB output I => B_mclkB -- Clock input -- BUFG: Global Clock Buffer -- Virtex-II/II-Pro, Spartan-3/3E -- Xilinx HDL Language Template version 8.1i BUFG_inst4 : BUFG port map ( O => clkfb1, -- Clock MUX output I => B_mclkA -- Clock0 input

34 34 -- OUTBUF: Global Output Clock Buffer -- Virtex-II/II-Pro, Spartan-3/3E -- Xilinx HDL Language Template version 8.1i BUFG_inst5 : BUFG port map ( O => bcoclk, -- Clock BCOCLK output I => B_bcoclk -- Clock input end Behavioral;

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

La distribuzione dei clock in un sistema digitale

La distribuzione dei clock in un sistema digitale Clk1(t+τ1) C1 Clki(t+τi) Ci clk Clock Gen Clk(t) Clkn(t+τn) Cn clk1 clki clkn τ1 τi τn Figura 0.1: Distribuzione clock e ritardi per Skew La distribuzione dei clock in un sistema digitale La distribuzione

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Nonostante l avvento delle più moderne

Nonostante l avvento delle più moderne di terminati ad entrambe le estremità con un impedenza di questo valore. Come già detto se si vuole ottenere la massima luminosità di uno dei segnali di colore occorre fornire circa 0.7V. Per fare questo

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro TUTORIAL 3 Realizzazione di un contatore su scheda XSA50 A cura di De Pin Alessandro 1 Problema proposto In questo tutorial ci si propone di realizzare un contatore che, associato ad un display a sette

Dettagli

Introduzione al VHDL Lezione 2

Introduzione al VHDL Lezione 2 Introduzione al VHDL Lezione 2 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

ISTITUTO NAZIONALE DI FISICA NUCLEARE

ISTITUTO NAZIONALE DI FISICA NUCLEARE ISTITUTO NAZIONALE DI FISICA NUCLEARE Sezione di Trieste INFN/TCN-03/001 24 Luglio 2003 SCHEDA I/O PER PORTA PARALLELA EPP Pietro Cristaudo 1 1) INFN-Sezione di Trieste, Laboratorio di Elettronica Sommario

Dettagli

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS Il VHDL 3 parte Tipi Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS 1 Definizione di un nuovo tipo TYPE Nome_tipo IS Definizione_tipo;

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

Misure su linee di trasmissione

Misure su linee di trasmissione Appendice A A-1 A-2 APPENDICE A. Misure su linee di trasmissione 1) Misurare, in trasmissione o in riflessione, la lunghezza elettrica TL della linea. 2) Dal valore di TL e dalla lunghezza geometrica calcolare

Dettagli

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni Introduzione Il VHDL e costituito da vari formati (types)ed operatori (operators) per consentire simulazione e sintesi a vari livelli Nel package STANDARD si trovano descritti quegli oggetti destinati

Dettagli

Elettronica delle TLC II Esercitazione I

Elettronica delle TLC II Esercitazione I Elettronica delle TLC II Esercitazione I 1. Obbiettivo esercitazione: L esercitazione si pone l obbiettivo di realizzare un voltmetro a due cifre decimali utilizzando la FPGA messa a disposizione dalla

Dettagli

Reti Logiche A. Introduzione al VHDL

Reti Logiche A. Introduzione al VHDL Reti Logiche Introduzione al VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it 1 Sommario Introduzione Struttura di un modello

Dettagli

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language Introduzione al VHDL Corso introduttivo al VHDL Giovanni De Luca VHDL VHDL è l acronimo di VHSIC Hardware Description Language VHSIC è l acronimo di Very High Speed Integrated Circuit La storia Il VHDL

Dettagli

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore)

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore) Riepilogo su FSM Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara FSM: i) insieme finito di simboli di ingresso; ii) insieme finito di simboli di uscita; iii) un

Dettagli

Calcolatori Elettronici M Modulo 2. 04 - Mapping di un progetto VHDL su FPGA

Calcolatori Elettronici M Modulo 2. 04 - Mapping di un progetto VHDL su FPGA Calcolatori Elettronici M Modulo 2 04 - Mapping di un progetto VHDL su FPGA In collaborazione con: Davide Nanni*, Andrea Bucaletti e Domenico Di Carlo 1 L obiettivo di questa lezione è mostrare come sia

Dettagli

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard)

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard) Tipi di segnali (logici) predefiniti Tipo bit (definito nel package standard) Rappresentazione dei segnali in VHDL Approfondimento del corso di Linguaggi di descrizione dell hardware type Bit is ('0',

Dettagli

Progetto e realizzazione di un sistema che rileva la temperatura a distanza

Progetto e realizzazione di un sistema che rileva la temperatura a distanza Progetto e realizzazione di un sistema che rileva la temperatura a distanza Obiettivo e specifiche del progetto 1. Monitoraggio in tempo reale della temperatura in una stanza (Tacq= 4 sec, Input range=

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2 Calcolatori Elettronici M Modulo 2 02 - Linguaggio VHDL Parte 1/2 1 Caratteristiche dei linguaggi HDL Gli HDL, essendo linguaggio di programmazione finalizzati a modellare l HARDWARE, presentano delle

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) 18 settembre 2003 Prova del 9 giugno 2003 Descrizione VHDL (a scelta, dataflow o comportamentale) di un decoder per il

Dettagli

Elettronica delle Telecomunicazioni II - Esercitazione 1. Interfaccia di convertitori A/D e A/D con logica programmabile

Elettronica delle Telecomunicazioni II - Esercitazione 1. Interfaccia di convertitori A/D e A/D con logica programmabile Elettronica delle Telecomunicazioni II - Esercitazione 1 Interfaccia di convertitori A/D e A/D con logica programmabile 1. Obbiettivo dell esercitazione: Questa esercitazione ha l obbiettivo di realizzare

Dettagli

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management Descrizione di Macchine a Stati finiti in VHDL Descrizioni di Macchine a Stati finiti in VHDL In questa lezione vedremo come un sistema digitale sequenziale può essere descritto in VHDL. Outline: Macchine

Dettagli

Addizione tra numeri binari

Addizione tra numeri binari Addizione tra numeri binari A=a n-1 a n-2...a i...a 0 B=b n-1 b n-2...b i...b 0 s i =a i b i c in c out =a i b i + a i c in + b i c in a i b i FA c out c in S=s n s n-1 s n-2...s i...s 0 s i a n 1 b n

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package VHDL Testbenches La libreria IEEE La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench La libreria IEEE La libreria standard IEEE è composta 6 package std_logic_1164 std_logic_arith

Dettagli

17^ parte : Bluetooth Shield SLD63030P. Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO)

17^ parte : Bluetooth Shield SLD63030P. Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) 17^ parte : Bluetooth Shield SLD63030P Author: Ing. Sebastiano Giannitto (ITIS M.BARTOLO PACHINO) Bluetooth Shield SLD63030P Questo shield permette ad Arduino e schede Arduino-compatibili, di comunicare

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

Descrizioni VHDL Behavioral

Descrizioni VHDL Behavioral 1 Descrizioni VHDL Behavioral In questo capitolo vedremo come la struttura di un sistema digitale è descritto in VHDL utilizzando descrizioni di tipo comportamentale. Outline: process wait statements,

Dettagli

ISTITUTO NAZIONALE DI FISICA NUCLEARE

ISTITUTO NAZIONALE DI FISICA NUCLEARE ISTITUTO NAZIONALE DI FISICA NUCLEARE Sezione di Genova INFN/TC-00/13 17 Luglio 2000 CLOCK GENERATOR FOR BOREXINO EXPERIMENT Adriano Bevilaqua, Fabio Pratolongo INFN-Sezione di Genova Via Dodecaneso 33,

Dettagli

su USB key esterna Memoria per i dati misurati valori su memoria interna Funzione Comparatore

su USB key esterna Memoria per i dati misurati valori su memoria interna Funzione Comparatore I modelli proposti Ponti LCR IM3533/01 IM3533 IM3523 IM3570 Settori di utilizzo Ricerca & Sviluppo, Linea di Produzione, Controllo Qualità Applicazione tipica Oggetto in prova (tipico) Caratterizzazione

Dettagli

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica Dispositivi riconfigurabili Reti Logiche T Ingegneria Informatica 1 Dispositivi riconfigurabili Field Programmable Gate Array (FPGA), dispositivi programmabili/configurabili mediante linguaggi di programmazione

Dettagli

INTECNO TRANSTECNO. MICRO Encoder ME22 ME22 MICRO Encoder. member of. group

INTECNO TRANSTECNO. MICRO Encoder ME22 ME22 MICRO Encoder. member of. group INTECNO MICRO ME22 ME22 MICRO 2 0 1 5 member of TRANSTECNO group Pag. Page Indice Index Descrizione Description I2 Caratteristiche principali Technical features I2 Designazione Classification I2 Specifiche

Dettagli

orologio e display cont 16

orologio e display cont 16 Tocci giovanni orologio e display obiettivo: costruzione di un orologio che sappia tenere in conto minuti e secondi. specifiche di progetto: Il nostro orologio, sarà costituito da : divisore di frequenza.

Dettagli

Impostazioni Ethernet per scambio sincrono del contatore encoder tra UNIdrive M70x e gestione Albero Elettrico menu 13

Impostazioni Ethernet per scambio sincrono del contatore encoder tra UNIdrive M70x e gestione Albero Elettrico menu 13 Impostazioni Ethernet per scambio sincrono del contatore encoder tra UNIdrive M70x e gestione Albero Elettrico menu 13 Control Techniques Project ID: 17001 v. 0001 del 20/02/2017 Customer: Project Description:

Dettagli

Genere DATA Generalità Note Distribuzione. Minima ingegnerizzazione per modulo GPS. Aggiunto schema collegamenti

Genere DATA Generalità Note Distribuzione. Minima ingegnerizzazione per modulo GPS. Aggiunto schema collegamenti GPS - MB RIFERIMENTI Genere DATA Generalità Note Distribuzione GENERALITA elettronica June 2014 Minima ingegnerizzazione per modulo GPS Aggiunto schema collegamenti Af Mb web Ho dotato un blocchetto ricevitore

Dettagli

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max

Dettagli

Work flow Elettronica di acquisizione

Work flow Elettronica di acquisizione Work flow Elettronica di acquisizione USB INTERFACE rej DAQ rej DAQ y in y in 1b 4b 2b 3b rej y in DAQ rej y in DAQ 9 vs 9 moduli di rivelazione FPGA COINCIDENCE UNIT TTL I/O rej DAQ y in 1a 2a rej y in

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Complementi ed Esercizi di Reti Logiche in VHDL

Complementi ed Esercizi di Reti Logiche in VHDL Calcolatori Elettronici M Complementi ed Esercizi di Reti Logiche in VHDL in collaborazione con Francesco Maria Sprotetto 1 Premessa In questo progetto sono stati implementati gli esercizi descritti in

Dettagli

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30 Sistemi per la Progettazione Automatica Informatica - Tiziano Villa 17 Marzo 2008 Nome e Cognome: Matricola: Posta elettronica: problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3

Dettagli

Area FA AE Specifica tecnica STM015 Cliente: FA AE

Area FA AE Specifica tecnica STM015 Cliente: FA AE Area FA AE Specifica tecnica STM015 Cliente: FA AE Prodotto: FX3U / FR-D720 Test comunicazione RS485 PLC- Inverter con istruzioni dedicate Mod. FA_STM_00 Data: 02.04.09 Pag. 1/24 STM015.doc Rev. 00 Preparato

Dettagli

Úimparare & approfondiredi FRANCESCO PENTELLA

Úimparare & approfondiredi FRANCESCO PENTELLA imparare & Úimparare & approfondiredi FRANCESCO PENTELLA Lezioni di VHDL (parte settima) SILICORE SLC1657 Nella settima parte di questo corso proponiamo un esempio più complesso, ma allo stesso tempo interessante:

Dettagli

Interfacce parallele

Interfacce parallele Interfacce parallele Con interfacce seriali trasmissione di un bit alla volta Velocità bassa Per aumentare la velocità due strade: Aumento del bit rate Invio contemporaneo di più bit Tipico invio di 8

Dettagli

Motori Motore passo-passo Stadio di potenza PWM Sincrono Stadio di potenza del motore passopasso. Blocchi funzionali. Set point e generatore PWM

Motori Motore passo-passo Stadio di potenza PWM Sincrono Stadio di potenza del motore passopasso. Blocchi funzionali. Set point e generatore PWM RC1 Blocchi funzionai Motori a corrente continua Generatori Circuiti per il controllo dei motori in CC Motori a corrente alternata Circuiti per il controllo dei motori in CA Motori passo-passo Circuiti

Dettagli

COMUNICAZIONE SERIALE a cura dell' Ing. Buttolo Marco

COMUNICAZIONE SERIALE a cura dell' Ing. Buttolo Marco COMUNICAZIONE SERIALE a cura dell' Ing. Buttolo Marco Un PC può comunicare in vari modi con le periferiche. Fondamentalmente esistono due tipi di comunicazione molto comuni: 1. La comunicazione seriale

Dettagli

Ottava esercitazione. Soluzione prova d esame 14 Settembre a.a

Ottava esercitazione. Soluzione prova d esame 14 Settembre a.a 1 Ottava esercitazione Soluzione prova d esame 14 Settembre 2010 Un sistema a FPGA, funzionante a 1 KHz, è utilizzato per controllare il sistema frenante di una automobile dotata di ABS (Anti Block System)

Dettagli

M400 Utilizzo di Encoder con M400

M400 Utilizzo di Encoder con M400 M400 Utilizzo di Encoder con M400 Control Techniques Project ID: 16013 - v.0000 del 20/02/2017 Customer: Project Description: Customer Project Identification: Page 1 of 8 INDICE 1. SCOPO DEL DOCUMENTO...

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Bus RS-232. Ing. Gianfranco Miele April 28, 2011

Bus RS-232. Ing. Gianfranco Miele  April 28, 2011 Ing. Gianfranco Miele (g.miele@unicas.it) http://www.docente.unicas.it/gianfranco_miele April 28, 2011 Alcune definizioni Comunicazione seriale Bit trasmessi in sequenza Basta una sola linea Più lenta

Dettagli

Reti logiche (2) Circuiti sequenziali

Reti logiche (2) Circuiti sequenziali Reti logiche (2) Circuiti sequenziali 1 Un ripasso Algebra booleana: operatori, postulati, identità, operatori funzionalmente completi Circuiti combinatori: tabelle di verità, porte logiche Decodificatore

Dettagli

CAPITOLO 6: STILI DI CODIFICA VHDL PER LOGICHE PROGRAMMABILI

CAPITOLO 6: STILI DI CODIFICA VHDL PER LOGICHE PROGRAMMABILI CAPITOLO 6: STILI DI CODIFICA VHDL PER LOGICHE PROGRAMMABILI 1. VHDL Il VHDL (VHSIC Hardware Description Language) è un linguaggio di descrizione dell hardware che consente la progettazione di circuiti

Dettagli

II.3.1 Inverter a componenti discreti

II.3.1 Inverter a componenti discreti Esercitazione II.3 Caratteristiche elettriche dei circuiti logici II.3.1 Inverter a componenti discreti Costruire il circuito dell invertitore in logica DTL e verificarne il funzionamento. a) Posizionando

Dettagli

ISTITUTO NAZIONALE DI FISICA NUCLEARE

ISTITUTO NAZIONALE DI FISICA NUCLEARE ISTITUTO NAZIONALE DI FISICA NUCLEARE Sezione di Trieste INFN-TS/TCN-10/02 03 Settembre 2011 MANUALE D USO DEL PROGRAMMA DI TEST DEI MODULI DI TELESCOPIO CON CHIP FSSR2 Pietro Cristaudo 1 1) INFN-Sezione

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella

Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella Memorie Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Tipi di memorie Possono essere classificate in base a varie caratteristiche:

Dettagli

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli Regolamentazioni AAMS Descrizione del progetto GAMEGAME RANDOM RANDOM 1-2-31 GAME GAME 2 FINALFINAL

Dettagli

IST-1395.CS01.01/A CE395CS / Istruzioni d uso Pag. 2/6

IST-1395.CS01.01/A CE395CS / Istruzioni d uso Pag. 2/6 IT IST-1395.CS01.01/A File: IST-1395.CS01.01-A_CE395CS-IT_Convertitore RS232-RS422.docx CONVERTITORE SERIALE RS232-RS485 CE395CS ISTRUZIONI D USO TECNOCONTROL S.r.l. Via Miglioli, 4720090 SEGRATE (MI)

Dettagli

Manuale per il collegamento e l uso Installation and operation manual

Manuale per il collegamento e l uso Installation and operation manual Manuale per il collegamento e l uso Installation and operation manual Ripetitore per extender kit HD-SDI HD-SDI repeater for extender kit 1. Introduzione Il prodotto è un dispositivo per il segnale HD-SDI

Dettagli

Descrizione VHDL di componenti combinatori

Descrizione VHDL di componenti combinatori Descrizione VHDL di componenti combinatori 5 giugno 2003 1 Decoder Il decoder è un componente dotato di N ingressi e 2 N uscite. Le uscite sono poste tutte a 0 tranne quella corrispondente al numero binario

Dettagli

Linee di trasmissione

Linee di trasmissione Linee di trasmissione Finora esperienza con circuiti a costanti concentrate. E un approssimazione, valida solo per lunghezze d onda dei segnali grandi rispetto alle dimensioni del circuito. Esempio Sinusoidale

Dettagli

VHDL. Il linguaggio FOCUS

VHDL. Il linguaggio FOCUS ON Il linguaggio VHDL di Antonio Di Stefano Il linguaggio VHDL consente di descrivere ed implementare circuiti complessi utilizzando tecnologie quali FPGA, CPLD, ASIC e structured ASIC. In questo articolo

Dettagli

Problema: dati i voti di tutti gli studenti di una classe determinare il voto medio della classe.

Problema: dati i voti di tutti gli studenti di una classe determinare il voto medio della classe. Problema: dati i voti di tutti gli studenti di una classe determinare il voto medio della classe. 1) Comprendere il problema 2) Stabilire quali sono le azioni da eseguire per risolverlo 3) Stabilire la

Dettagli

Università Federico II - Napoli - A.A. 2011/2012 Corso di Laurea in Ingegneria Elettronica

Università Federico II - Napoli - A.A. 2011/2012 Corso di Laurea in Ingegneria Elettronica Università Federico II - Napoli - A.A. 2011/2012 Corso di Laurea in Ingegneria Elettronica Architettura dei Sistemi Integrati Elaborato Progettazione microprocessore Pico16 Alunno X X Professore Antonio

Dettagli

Metodologie di Progettazione Hardware-Software

Metodologie di Progettazione Hardware-Software Metodologie di Progettazione Hardware-Software Il Very High Speed Integrated Circuit Hardware Description Language ( VHDL ) Metodologie di Progettazione Hardware/Software LS Ing. Informatica 1 Gli Hardware

Dettagli

Sintesi Logica dal livello RTL. FPGA Xilinx Virtex II

Sintesi Logica dal livello RTL. FPGA Xilinx Virtex II Sintesi Logica dal livello RTL FPGA Xilinx Virtex II 1 Sintesi Logica dal livello RTL La Sintesi Logica si occupa di trasformare la descrizione di un circuito a livello RTL (Register Transfer Level) in

Dettagli

Christian Pilato

Christian Pilato Politecnico di Milano Introduzione al VHDL Christian Pilato pilato@elet.polimi.it Sommario Introduzione Struttura di un modello Interfaccia Funzionalità Concetti base Livelli di astrazione Concorrenza

Dettagli

Cablaggio del plate changer

Cablaggio del plate changer Cablaggio del plate changer Luigi Degli Esposti, Gabriele Sirri INFN Sezione di Bologna V.le Berti Pichat 6/2 40127 Bologna Versione 0.4 (9/02/09) Introduzione Il presente manuale descrive come effettuare

Dettagli

Misuratore di frequenza

Misuratore di frequenza UNIVERSITÀ DEGLI STUDI DI PADOVA Misuratore di frequenza Tesi di laurea triennale in ingegneria dell Informazione Antonio Rizzo Matricola: 610143 28/09/2012 Relatore: Daniele Vogrig Realizzazione di un

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Le memorie. Circuiti di memoria a stato solido (Millmann , data sheet)

Le memorie. Circuiti di memoria a stato solido (Millmann , data sheet) Le memorie Circuiti di memoria a stato solido (Millmann 16.1-8, data sheet) Tipi di memorie Classificazione Memorie SRAM Interfaccia elettrica e logica Indirizzamento bidimensionale Realizzazione di moduli

Dettagli

La descrizione ai terminali dell unità di controllo è la seguente:

La descrizione ai terminali dell unità di controllo è la seguente: Elettronica dei Sistemi Digitali Linguaggi di Descrizione Hardware - Prova d esame 5 Luglio 2004 Come tutti ben sanno un tachimetro da bicicletta misura la velocità e la distanza percorsi dal velocipede

Dettagli

Progettazione di circuiti digitali e linguaggio VHDL

Progettazione di circuiti digitali e linguaggio VHDL Progettazione di circuiti digitali e linguaggio VHDL Sergio Ricciarini ~ INFN Firenze Corso di Elettronica Generale I Laurea Specialistica in Scienze Fisiche e Astrofisiche Dip. di Fisica - Università

Dettagli

Diagramma a blocchi per la selezione, in un mazzo di chiavi, di quella che apre un lucchetto

Diagramma a blocchi per la selezione, in un mazzo di chiavi, di quella che apre un lucchetto Diagramma a blocchi per la selezione, in un mazzo di chiavi, di quella che apre un lucchetto 14 1. Dato un numero dire se è positivo o negativo 2. e se il numero fosse nullo? 3. Eseguire il prodotto tra

Dettagli

INTRODUZIONE AD OMNET++

INTRODUZIONE AD OMNET++ INTRODUZIONE AD OMNET++ Omnet++ OMNET++ è una piattaforma di simulazione : È utile per: Modulare: gerarchia di moduli Ad eventi Orientata agli Oggetti (in C++) Open Source Versione comm. OMNEST analizzare

Dettagli

MST_K12. Regolatore di velocita per motori in CC. Realizzazione e Applicazione

MST_K12. Regolatore di velocita per motori in CC. Realizzazione e Applicazione MST_K12 Regolatore di velocita per motori in CC Realizzazione e Applicazione Page 1 of 12 1.0 Revisioni Versione Data Descrizione Pagine 1.0 21/05/2011 Stesura iniziale 9 1.1 20/08/2011 Correzioni Testo

Dettagli

Downloading and Installing Software Socio TIS

Downloading and Installing Software Socio TIS Object: Downloading and Installing Software Socio TIS compiler: L.D. Date Revision Note April 17 th 2013 --- For SO XP; Win 7 / Vista step Operation: Image A1 Open RUN by clicking the Start button, and

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

ESERCITAZIONE n.4. Contatore BCD

ESERCITAZIONE n.4. Contatore BCD ESERCITAZIONE n.4 Obiettivi: - Realizzazione di una rete sequenziale: Contatore BCD. - Uso dei LogiBLOX. - Visualizzazione su un display a 7 segmenti. - L esercitazione n.4 consta di quattro fasi: Funzionamento

Dettagli

Uso dell oscilloscopio. Generalita Banda passante Input e amplificazione verticale Trigger Analogico vs. Digitale

Uso dell oscilloscopio. Generalita Banda passante Input e amplificazione verticale Trigger Analogico vs. Digitale Uso dell oscilloscopio Generalita Banda passante Input e amplificazione verticale Trigger Analogico vs. Digitale Generalita Possiamo considerare l oscilloscopio semplicemente come un voltmetro in grado

Dettagli

Scheda di interfaccia con FPGA LVTTL ECL e NIM. I.N.F.N. Sezione di Pavia. D. Calabro, A. Lanza

Scheda di interfaccia con FPGA LVTTL ECL e NIM. I.N.F.N. Sezione di Pavia. D. Calabro, A. Lanza Via Bassi, 6 27100 Pavia (Italy) Tel. +39 0382 987430 Fax +39 0382 423241 I.N.F.N. Sezione di Pavia Servizio elettronico D. Calabro, A. Lanza Scheda di interfaccia con FPGA LVTTL ECL e NIM Giugno 2011

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici UNIVERSITÀ DEGLI STUDI DI UDINE Facoltà di Ingegneria Corso di Laurea Specialistica in Ingegneria Gestionale dell Informazione Dipartimento di Ingegneria Elettrica, Gestionale e Meccanica Calcolatori Elettronici

Dettagli

Progettazione dell unità di elaborazioni dati e prestazioni. Il processore: unità di elaborazione. I passi per progettare un processore

Progettazione dell unità di elaborazioni dati e prestazioni. Il processore: unità di elaborazione. I passi per progettare un processore Il processore: unità di elaborazione Architetture dei Calcolatori (lettere A-I) Progettazione dell unità di elaborazioni dati e prestazioni Le prestazioni di un calcolatore sono determinate da: Numero

Dettagli

Microprocessore a 16 bit in tecnologia CMOS 45nm

Microprocessore a 16 bit in tecnologia CMOS 45nm Descrizione Microprocessore a 16 bit in tecnologia CMOS 45nm Realizzare interamente un semplice microprocessore Pico a 16 bit, partendo dalla unità logico-aritmetica (ALU) fino ad arrivare al microcontrollore.

Dettagli

Dipartimento di Fisica Sperimentale Laboratorio di Elettronica

Dipartimento di Fisica Sperimentale Laboratorio di Elettronica Dipartimento di Fisica Sperimentale Laboratorio di Elettronica D.Gamba,P.P.Trapani April 9, 2004 1 1 Circuiti Digitali Integrati: Timer, ADC e DAC In questo Lab. si imparera ad usare alcuni circuiti integrati

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo H: Sistemi Elettronici Lezione n H - 5: Collegamenti seriali Conclusione

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo H: Sistemi Elettronici Lezione n H - 5: Collegamenti seriali Conclusione ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo H: Sistemi Elettronici Lezione n. 40 - H - 5: Collegamenti seriali Conclusione Elettronica II - Dante Del Corso - Gruppo H - 4 n. 1-15/11/97

Dettagli

Le sintonie elettroniche per l ATV

Le sintonie elettroniche per l ATV Le sintonie elettroniche per l ATV II Meeting ATV Magenta 18/04/2004 IW2LFD Luciano Arrigoni iw2lfd@libero.it http://digilander.libero.it/iw2lfd A.R.I. Sezione di Gallarate IQ2GM - http://www.qsl.net/iq2gm/

Dettagli

Page 1. ElapC8 04/11/2013 2013 DDC 1 ELETTRONICA APPLICATA E MISURE. C8: Esempi di collegamenti seriali. Ingegneria dell Informazione

Page 1. ElapC8 04/11/2013 2013 DDC 1 ELETTRONICA APPLICATA E MISURE. C8: Esempi di collegamenti seriali. Ingegneria dell Informazione Ingegneria dell Informazione C8: Esempi di collegamenti seriali ELETTRONICA APPLICATA E MISURE Dante DEL CORSO C8 Esempi di collegamenti seriali»spi» RS232»I2C» PCI Express»Esercizi Parametri di una interfaccia

Dettagli

I costrutti forniti dal linguaggio si dividono in corrispondenti classi di istruzioni

I costrutti forniti dal linguaggio si dividono in corrispondenti classi di istruzioni Classi di istruzioni In maniera simile a quanto fatto per i dati, un linguaggio mette a disposizione dei costrutti per realizzare la parte esecutiva dell algoritmo. Questa consiste di: Leggi i valori di

Dettagli