Variabili logiche e circuiti combinatori



Documenti analoghi
I.I.S. Primo Levi Badia Polesine A.S

Cap. 3 Reti combinatorie: analisi e sintesi operatori logici e porte logiche

Utilizzo I mintermini si usano quando si considererà la funzione di uscita Q come Somma di Prodotti (S. P.) ossia OR di AND.

MAPPE DI KARNAUGH. Nei capitoli precedenti si è visto che è possibile associare un circuito elettronico o elettrico ad una funzione logica.

Algebra Booleana 1 ALGEBRA BOOLEANA: VARIABILI E FUNZIONI LOGICHE

Algebra di Boole. Le operazioni, nell algebra booleana sono basate su questi tre operatori: AND ( ), OR ( + ),NOT ( )

Operatori logici e porte logiche

Calcolatori: Algebra Booleana e Reti Logiche

Algebra di Boole. Le operazioni base sono AND ( ), OR ( + ), NOT ( )

Algebra Di Boole. Definiamo ora che esiste un segnale avente valore opposto di quello assunto dalla variabile X.

Appunti di informatica. Lezione 2 anno accademico Mario Verdicchio

Le Mappe di Karnaugh.

MAPPE DI KARNAUGH e sintesi ottima

ALGEBRA DELLE PROPOSIZIONI

APPUNTI DI ELETTRONICA DIGITALE

Dimensione di uno Spazio vettoriale

Corso di Informatica Generale (C. L. Economia e Commercio) Ing. Valerio Lacagnina Fondamenti di calcolo booleano

Matematica Computazionale Lezione 4: Algebra di Commutazione e Reti Logiche

Sommario. Teoremi Maxterm Forme Canoniche Mappe di Karnaugh Fine lezione

Esercitazioni di Reti Logiche. Lezione 2 Algebra Booleana e Porte Logiche. Zeynep KIZILTAN zkiziltan@deis.unibo.it

Reti Logiche. Le reti logiche sono gli elementi architettonici di base dei calcolatori, e di tutti gli apparati per elaborazioni digitali.

Algebra booleana. Si dice enunciato una proposizione che può essere soltanto vera o falsa.

Memorie ROM (Read Only Memory)

A L'operatore NOT si scrive con una linea sopra la lettera indicante la variabile logica A ; NOT di A =

Architettura dei Calcolatori Algebra delle reti Logiche

Lezioni di Matematica 1 - I modulo

Sintesi Combinatoria Uso di componenti diversi dagli operatori elementari. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Algebra di Boole e reti logiche. Giovedì 8 ottobre 2015

Sintesi di reti combinatorie. Sommario. Motivazioni. Sommario. Funzioni Espressioni. M. Favalli

Parte 2. Determinante e matrice inversa

L algebra di Boole. Cenni Corso di Reti Logiche B. Mariagiovanna Sami

STRUTTURE ALGEBRICHE

Alcune nozioni di base di Logica Matematica

Linguaggio del calcolatore. Algebra di Boole AND, OR, NOT. Notazione. And e or. Circuiti e reti combinatorie. Appendice A + dispense

Architettura degli Elaboratori Implementazione di funzioni booleane

Reti sequenziali sincrone

4. Operazioni elementari per righe e colonne

Algebra di Boole ed Elementi di Logica

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it

G. Pareschi ALGEBRE DI BOOLE. 1. Algebre di Boole

APPUNTI DI MATEMATICA LE FRAZIONI ALGEBRICHE ALESSANDRO BOCCONI

4 3 4 = 4 x x x 10 0 aaa

Iniziamo con un esercizio sul massimo comun divisore: Esercizio 1. Sia d = G.C.D.(a, b), allora:

Lezione 9: Cambio di base

Codifica binaria e algebra di Boole

Comparatori. Comparatori di uguaglianza

LE SUCCESSIONI 1. COS E UNA SUCCESSIONE

I sistemi di numerazione

Per lo svolgimento del corso risulta particolarmente utile considerare l insieme

Teoria degli insiemi

Siamo così arrivati all aritmetica modulare, ma anche a individuare alcuni aspetti di come funziona l aritmetica del calcolatore come vedremo.

2.1 Definizione di applicazione lineare. Siano V e W due spazi vettoriali su R. Un applicazione

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi:

Prodotto libero di gruppi

SISTEMI DI NUMERAZIONE E CODICI

Transitori del primo ordine

risulta (x) = 1 se x < 0.

1. PRIME PROPRIETÀ 2

2AE 2BE [Stesura a.s. 2014/15]

Numeri naturali numeri naturali minore maggiore Operazioni con numeri naturali

Esercizi su lineare indipendenza e generatori

4. Operazioni binarie, gruppi e campi.

Laurea Specialistica in Informatica

1. Operazioni in logica binaria e porte logiche

Sia data la rete di fig. 1 costituita da tre resistori,,, e da due generatori indipendenti ideali di corrente ed. Fig. 1

Logica binaria. Porte logiche.

1 Applicazioni Lineari tra Spazi Vettoriali

UNA LEZIONE SUI NUMERI PRIMI: NASCE LA RITABELLA

Complementi di Analisi per Informatica *** Capitolo 2. Numeri Complessi. e Circuiti Elettrici. a Corrente Alternata. Sergio Benenti 7 settembre 2013

Il principio di induzione e i numeri naturali.

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 2.

Esercizi svolti di Elettrotecnica

Algebra Booleana ed Espressioni Booleane

MATEMATICA DEL DISCRETO elementi di teoria dei grafi. anno acc. 2009/2010

= 1*2^7 + 1*2^6 + 0*2^5 + 1*2^4 + 0*2^3 + 0*2^2 + 1*2^1 + 0*2^0 = 210

Il simbolo. è è = = = In simboli: Sia un numero naturale diverso da zero, il radicale. Il radicale. esiste. esiste 0 Il radicale

3 GRAFICI DI FUNZIONI

PROPRIETA' ASSOCIATIVA La somma di tre o più addendi non cambia se al posto di alcuni di essi si sostituisce la loro somma.

( x) ( x) 0. Equazioni irrazionali

II.f. Altre attività sull euro

CALCOLO COMBINATORIO

Guardiamo ora però la cosa da un altro punto di vista analizzando il seguente grafico a forma di torta. La torta in 5 parti

Applicazioni lineari

u 1 u k che rappresenta formalmente la somma degli infiniti numeri (14.1), ordinati al crescere del loro indice. I numeri u k

Prodotto elemento per elemento, NON righe per colonne Unione: M R S

V= R*I. LEGGE DI OHM Dopo aver illustrato le principali grandezze elettriche è necessario analizzare i legami che vi sono tra di loro.

Il concetto di valore medio in generale

10. Insiemi non misurabili secondo Lebesgue.

Lezione 1. Gli Insiemi. La nozione di insieme viene spesso utilizzata nella vita di tutti i giorni; si parla dell insieme:

Esercizio 1 Dato il gioco ({1, 2, 3}, v) con v funzione caratteristica tale che:

ESERCIZI DI ALGEBRA LINEARE E GEOMETRIA

Sui concetti di definizione, teorema e dimostrazione in didattica della matematica

Proof. Dimostrazione per assurdo. Consideriamo l insieme complementare di P nell insieme

Analisi Matematica di circuiti elettrici

1 Giochi a due, con informazione perfetta e somma zero

ESERCITAZIONI PRATICHE: Ø Creazione di un decoder BCD/DEC con porte logiche. Ø Esercitazione con decoder 4511 e display 7 segmenti.

GEOMETRIA DELLE MASSE

OCCUPATI SETTORE DI ATTIVITA' ECONOMICA

UNIVERSITÀ DEGLI STUDI DI TERAMO

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007

Transcript:

Variabili logiche e circuiti combinatori Si definisce variabile logica binaria una variabile che può assumere solo due valori a cui si fa corrispondere, convenzionalmente, lo stato logico 0 e lo stato logico l. Una variabile logica è pertanto un segnale binario in quanto nella variazione dello stato logico è insita l'informazione. La posizione di un interruttore, che può essere aperto (off) o chiuso (on), con la conseguente assenza o presenza di corrente, rappresenta una variabile logica; una tensione di tipo binario, che può assumere solo due valori, quello alto (H = high) o quello basso (L = low), è un altro esempio di variabile logica. Se si hanno due variabili logiche A e B, queste sono combinabili in quattro modi diversi (00-01-10-11). In generale, detto n il numero delle variabili, le combinazioni sono 2 n. Circuiti combinatori Si tratta di circuiti che presentano, in generale, più ingressi e più uscite, a ognuna delle quali corrisponde una variabile logica binaria. A ogni combinazione delle variabili logiche in ingresso, presente in un dato istante, corrisponde, nello stesso istante, una ben determinata combinazione delle variabili logiche in uscita. In altri termini, noto lo stato logico degli ingressi è noto anche quello delle uscite nello stesso istante. La funzione logica di un circuito combinatorio, ovvero il legame ingressi-uscite dello stesso, è esprimibile attraverso una tabella della verità, che riporta per ogni combinazione degli ingressi la corrispondente combinazione delle uscite. La funzione logica di un circuito può essere descritta in forma sintetica, oltre che tramite una tabella della verità, attraverso una o più espressioni logiche che sfruttano le regole dell algebra di Boole. A B C Circuito Combinatorio a) Generico circuito combinatorio Y A B C Y 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 1 1 1 1 1 b) Esempio di tabella della verità nel caso di tre ingressi ed una uscita Algebra di Boole L'algebra booleana fu ideata da George Boole (1815-1864) per la soluzione di problemi logici, come la verità o la falsità di affermazioni conseguenti ad altre secondo determinate regole. Le variabili booleane a cui corrispondono le affermazioni vere/false sono variabili binarie e possono facilmente adattarsi al livello alto o basso di una tensione o a un interruttore aperto o chiuso. Pertanto l'algebra booleana, con la sostituzione dell'affermazione vera/falsa con i valori 1/0, ben si adatta all'elettronica digitale binaria. L'algebra di Boole si basa sui seguenti assiomi: 1

1 1 = 1 1 0 = 0 0 1 = 0 0 0 = 0 1 = 0 0 + 0 = 0 0 + 1 = 1 1+ 0 = 1 1+ 1 = 1 0 = 1 L'operatore ( ) è detto operatore di prodotto logico (AND). L'operatore (+) è detto operatore di somma logica (OR). L operatore ( ) è detto operatore di complementazione logica (NOT). Questi assiomi vanno accettati nella logica booleana e quindi non si devono interpretare secondo le regole matematiche abituali (sarebbe in questo caso assurdo porre 1 + 1 = 1). Un'interpretazione circuitale a questi assiomi può essere data per mezzo della seguente figura: Sfruttando le regole di quest'algebra è possibile esprimere, in forma sintetica, la funzione logica di un qualunque circuito, attraverso una sua espressione logica. Ad esempio l'espressione Y = A B + C soddisfa la tabella della verità precedente (per verificarlo basta applicare a ogni possibile combinazione degli ingressi gli assiomi booleani). Dualità Se una espressione logica è vera, ovvero soddisfa gli assiomi di Boole, anche la sua duale è vera. Infatti, la prima colonna degli assiomi booleani è sostituibile alla seconda se si scambia l'operatore AND con l'operatore OR, ogni 1 con uno 0 e ogni 0 con un 1 e, viceversa, è possibile passare dalla seconda colonna alla prima. Questa proprietà è detta della dualità ed è valida per ogni espressione logica vera. Proprietà e teoremi dell'algebra di Boole Proprietà: A+B = B+A A B = B A (A+B)+C = A+(B+C) (A B) C = A (B C) (A B) + (A C) = A (B + C) (A + B) (A + C) = A + (B C) proprietà commutativa proprietà associativa proprietà distributiva 2

Teoremi: A + A+1=1 A 0 = 0 A+0=A A l = A A + A = 1 A = 0 A +A = A A A = A A+(A B) = A A (A + B) = A ( B) = A + ( A + = B B teorema di annullamento teorema di identità teorema dei complementi teorema di idempotenza primo teorema dell'assorbimento secondo teorema dell'assorbimento A + B = B B = A + B teorema di De Morgan Grazie alla dualità tutte le proprietà e tutti i teoremi sono esprimibili per mezzo di due relazioni. Teorema di Shannon Una estensione del teorema di De Morgan è il teorema di Shannon che afferma che il complemento di una espressione logica è ottenibile complementando le singole variabili e scambiando tra loro le operazioni di somma e prodotto. Questi teoremi sono tutti facilmente dimostrabili semplicemente verificandone la validità per ognuna delle possibili combinazioni delle variabili logiche (per la dualità basta ovviamente verificare per ogni teorema una sola espressione). Esempio: De Morgan. A B A+B A + B A B B 0 0 0 1 1 1 1 0 1 1 0 1 0 0 1 0 1 0 0 1 0 1 1 1 0 0 0 0 Tali teoremi possono anche essere dimostrati per deduzione ossia provando l'identità dell'espressione mediante l'applicazione successiva di altri teoremi o proprietà. Esempio: nel caso del primo teorema dell'assorbimento, raccogliendo la A si ottiene A + (A B) = A (1 + B), ma poiché (1 + B) vale 1 per il teorema dell'annullamento e A 1 vale A per il teorema d'identità. 3

Funzioni logiche primarie I circuiti capaci di svolgere le operazioni logiche assiomatiche AND OR - NOT realizzano delle funzioni logiche primarie in quanto, combinando opportunamente più circuiti di questo tipo, è possibile realizzare una funzione logica comunque complessa. Funzione logica AND La funzione logica AND: simbolo classico (a), simbolo secondo le norme ANSI/IEEE (b) e tabella della verità (c). Osservando la tabella, si può notare che l'uscita è a l solo se tutte le entrate sono a 1. Funzione logica OR La funzione logica OR: simbolo classico (a), simbolo secondo le norme ANSI/IEEE (b) e tabella della verità (c). Dalla tabella della verità si nota che in questo caso si ha 1 in uscita ogni volta che si ha l in uno degli ingressi. Funzione logica NOT La funzione logica NOT realizza l'assioma della complementazione e quindi se l'ingresso è 1, l'uscita è 0 e viceversa. Funzione logica NOT: simbolo classico (a), simbolo ANSI/IEEE (b) e tabella della verità. 4

Il problema della minimizzazione Una certa funzione logica può essere ottenuta con diverse soluzioni circuitali, a ognuna delle quali corrisponde una diversa espressione logica; in linea generale, la soluzione circuitale migliore è quella a cui corrisponde l'espressione logica minima, ovvero è realizzabile con il numero minimo possibile di funzioni logiche primarie. Per minimizzare una espressione logica si possono applicare le proprietà dell'algebra di Boole, anche se si tratta di una tecnica "per tentativi" non sempre agevole da utilizzare. Esempio di minimizzazione: ( B + ) + AB = AC AB Y = ABC + AB + AC = AC 1 + Dove si è applicata rispettivamente la proprietà distributiva, il teorema dell annullamento e quello di identità. Dove si è applicata rispettivamente la proprietà distributiva, il teorema dei complementi e quello d identità. Altre funzioni logiche ( + B) + A( B + B) = A + = 1 Y = AB + AB + AB + AB = A B A La funzione NAND Un NAND è facilmente ricavabile facendo seguire a un AND un NOT, le uscite sono i complementi di quelle di un AND. Funzione logica NAND: simboli, equivalenza logica e tabella della verità. La funzione NOR Tale funzione si ottiene facendo seguire un NOT a un OR. In questo caso le uscite sono i complementi delle corrispondenti di un OR. Funzione logica NOR: simboli, equivalenza logica, tabella della verità. 5

La funzione OR esclusivo (EX-OR) L'OR esclusivo a due ingressi è un circuito capace di riconoscere se due ingressi sono diversi (uscita = 1) o sono uguali (uscita = 0). EX-OR: simbolo classico (a), ANSI/IEEE (b) e tabella della verità (c). Ad esclusione della quarta combinazione, la tabella della verità corrisponde a quella di un OR a due ingressi. Per un numero di ingressi qualsiasi n si può verificare che un'operazione di OR esclusivo fornisce l'uscita a l se è dispari il numero di l in ingresso, fornisce invece 0 in uscita se il numero di l è pari. Volendo è anche possibile definire la EX-NOR, ottenibile facendo seguire a un EX-OR un NOT; questa funzione è anche detta funzione coincidenza (Y = l se gli ingressi sono uguali). Gruppi universali EX-NOR: simbolo classico (a), ANSI/IEEE (b) e tabella della verità (c). I circuiti AND-OR-NOT costituiscono, nel loro insieme, un gruppo universale in quanto combinando opportunamente queste funzioni primarie è possibile ottenere qualunque funzione logica, comunque complessa. Anche i gruppi AND-NOT e OR-NOT sono universali, di conseguenza i NAND da soli già costituiscono un gruppo universale e così pure i NOR. Per dimostrare quest'ultima affermazione basta verificare che tutte e tre le funzioni primarie sono ottenibili con solo NAND o solo NOR. Forme canoniche Data una espressione logica è possibile minimizzarla e risalire al corrispondente circuito; procedendo in modo inverso è ovviamente possibile, noto il circuito, ricavare la sua corrispondente espressione logica. Vediamo, però, come nota la tabella della verità sia possibile risalire da questa a una espressione logica che la soddisfi, da cui ricavare il circuito. 6

Prima forma canonica Supponiamo di volere realizzare una rete combinatoria che soddisfi la seguente tabella della verità: A B C Y 0 0 0 1 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 0 1 1 0 1 1 1 1 0 Consideriamo inizialmente le combinazioni degli ingressi a cui corrisponde un l in uscita e scriviamo per ognuna di queste un'espressione capace di dare l solo in corrispondenza della combinazione di ingresso scelta: combinazione 000: combinazione 100: Y Y = = B C B C combinazione 001: combinazione 110: Y Y = = B C B C Possiamo osservare che ogni espressione logica è stata ottenuta facendo il prodotto delle tre variabili prese complementate se valgono 0 e non complementate se valgono l. Questi singoli prodotti sono detti mintermini. Se ora si vuole ricavare l'espressione che soddisfa la tabella delle verità, basta sommare i mintermini; così facendo avremo l in uscita ogni volta che si verificherà una delle quattro combinazioni desiderate, negli altri casi l'uscita sarà 0: Questa espressione è detta prima forma canonica. Y = B C + B C + B C + B C Generalizzando si può affermare che una generica espressione logica, a n variabili di ingresso e una di uscita, è sempre esprimibile nella forma canonica somma di mintermini; questi ultimi sono tanti quante le combinazioni degli ingressi a cui corrisponde l in uscita e sono caratterizzati dal fatto di contenere tutte le variabili di ingresso tra loro moltiplicate, prese complementate se valgono 0 o non complementate se valgono l. La prima forma canonica non è però, in generale, una espressione minima, perciò si dovrà procedere ad una possibile semplificazione. Seconda forma canonica Riferendoci sempre alla precedente tabella della verità possiamo ora procedere nel modo seguente: individuato gli 0 in uscita si scrivono le espressioni capaci di dare 0 solo in corrispondenza della combinazione considerata; queste espressioni logiche vengono dette maxtermini e si ottengono sommando le tre variabili, prese complementate se valgono l e non complementate se valgono 0. L'espressione che si ottiene facendo il prodotto logico di tutti i maxtermini soddisfa la tabella della verità ed è detta seconda forma canonica ( A + B + C) ( A + B + C) ( A + B + C) ( A + B C) Y = + 7

Generalizzando si può dire che una generica espressione logica a n variabili di ingresso e una di uscita, è sempre esprimibile nella forma canonica prodotto di maxtermini; questi ultimi sono tanti quante le combinazioni degli ingressi a cui corrisponde 0 in uscita e sono caratterizzati dal fatto di contenere tutte le variabili in ingresso tra loro addizionate, prese complementate se valgono l o non complementate se valgono 0. Anche la seconda forma canonica non è in linea generale minima. In conclusione ogni circuito combinatorio a un'uscita è sempre esprimibile attraverso due diverse forme canoniche, eventualmente minimizzabili. Le mappe di Karnaugh Abbiamo visto come sia possibile effettuare la minimizzazione usando le regole dell'algebra di Boole, anche se tale operazione non sempre risulta agevole ed intuitiva. Un metodo sistematico che offre il vantaggio di essere particolarmente semplice e comodo e permette di arrivare partendo dalla tabella della verità o (e ciò fa lo stesso) da una espressione canonica a espressioni minime del tipo somma di prodotti o del tipo prodotti di somme è dato dalle mappe di Karnaugh che risulta particolarmente agevole per un numero di variabili non superiore a quattro. Karnaugh presuppone di conoscere la tabella della verità (o una forma canonica); tramite questa si costruisce una mappa che ne è, in forma diversa, un equivalente Ogni mappa contiene un numero di caselle pari alle 2 n combinazioni delle n variabili d ingresso. Le caselle con un lato in comune sono dette adiacenti; si devono considerare tali anche le caselle alle estremità opposte, come se la mappa si richiudesse su se stessa. Le caselle devono essere disposte in modo che passando da una qualsiasi di queste a una sua adiacente, lungo una riga o una colonna, cambi il valore di una sola variabile (è, in effetti, tale condizione che stabilisce l adiacenza o meno di due caselle assumendo così un significato non solo geometrico). Per rappresentare una funzione logica con una mappa, se si fa riferimento alla prima forma canonica, si scrive l nelle caselle che corrispondono alle combinazioni delle variabili di ingresso per le quali la funzione vale l, e nelle caselle lasciate vuote si sottintendono gli 0. In modo analogo si scrivono solo gli 0 se si fa riferimento alla seconda forma canonica. Per comprendere il metodo si supponga di dovere minimizzare la seguente funzione espressa nella prima forma canonica: Y = ABC + ABC + ABC Ricordando la definizione di mintermine si vede che le combinazioni degli ingressi a cui corrisponde 1 in uscita sono: 110-111-011 Una volta individuate queste combinazioni è facile costruire la mappa: C\AB 00 01 11 10 0 1 1 1 1 Consideriamo il raggruppamento indicato con il tratteggio di colore blu: a questi due 1 nella funzione canonica corrisponde la somma: ( C + C) AB Y = ABC + ABC = AB = Possiamo notare che nel raggruppamento considerato A e B non variano spostandosi da una casella all'altra, mentre C che varia corrisponde alla variabile semplificata. 8

Analogamente, al raggruppamento indicato con il tratteggio di colore rosso corrisponde la semplificazione: ( A + A) BC Y = ABC + ABC = BC = Anche in questo caso la variabile eliminata è quella che varia passando da una casella all'altra. In conclusione la minimizzazione porta alla seguente funzione: Y = AB + BC A questo risultato si è arrivati considerando il mintermine ABC due volte, questo è sempre possibile in quanto, per il teorema di idempotenza, la somma di più mintermini uguali non altera la funzione logica. Come minimizzare con una mappa di 1 Riassumendo, la minimizzazione con le mappe di Karnaugh, qualora siano evidenziati gli 1, si effettua nel seguente modo: a) si individuano tutti i possibili raggruppamenti rettangolari di 1 adiacenti, che ne contengano il maggior numero possibile, ma sempre in quantità potenza del 2 (l-2-4-8-16); b) si sceglie il minimo numero di raggruppamenti possibile per considerare tutti gli 1 della mappa almeno una volta; c) a ogni raggruppamento si fa corrispondere un prodotto delle sole variabili che hanno lo stesso valore in tutte le caselle; queste variabili vanno complementate se valgono 0 e non complementate se valgono 1 (le variabili che cambiano valore spostandosi da una casella all altra dello stesso raggruppamento vanno semplificate); d) l'espressione minima è pari alla somma dei termini ricavati al punto c). Esempio: Realizzare un circuito in forma minima che soddisfi questa tabella. La funzione canonica risulta: A B C Y 0 0 0 1 0 0 1 0 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 0 1 1 1 0 La forma minima risulta essere: Y = ABC + ABC + ABC + ABC + ABC C\AB 00 01 11 10 0 1 1 1 1 1 1 Y = AB + AB + BC 9

Oppure equivalentemente: Per cui abbiamo C\AB 00 01 11 10 0 1 1 1 1 1 1 Y = AC + AB + AB Nella figura seguente sono riportate due possibili soluzioni tra loro equivalenti: Esempio: C\AB 00 01 11 10 0 1 1 1 1 1 1 1 Y = C + AB + A B Come minimizzare con una mappa di 0 In modo del tutto analogo si procede evidenziando gli 0: a) si individuano tutti i possibili raggruppamenti rettangolari di 0 adiacenti, che ne contengano il maggior numero possibile, ma sempre in quantità potenza del 2; b) si sceglie il minimo numero di raggruppamenti necessari, per considerare tutti gli 0 almeno una volta; c) a ogni raggruppamento si fa corrispondere una somma delle sole variabili che hanno lo stesso valore in tutte le caselle; le variabili vanno complementate se valgono l e non complementate se valgono 0; d) l'espressione minima è il prodotto dei termini ricavati al punto c). Esempio: CD\AB 00 01 11 10 00 0 01 0 0 11 0 0 10 0 ( B + D) ( A + B D) Y = + 10

Caso con più di quattro variabili Con opportuni accorgimenti è possibile estendere il metodo anche a funzioni logiche con più di quattro variabili. Per esempio, nel caso di cinque variabili si possono considerare due sottomappe riferite alle variabili A, B, C, D, una con E = 0 e una con E = 1. I raggruppamenti nelle sottomappe vengono realizzati al solito modo, ma bisogna tener presente che gli 1 occupanti le stesse posizioni nelle due sottomappe vanno considerati adiacenti (infatti varia solo E). In pratica, si deve immaginare le due sottomappe sovrapposte in trasparenza. In sintesi: 1. Per realizzare il circuito combinatorio corrispondente a una tabella della verità è necessario ricavare un'espressione logica che la soddisfa: la prima e la seconda forma canonica sono le espressioni più facilmente ricavabili da una tabella della verità e che la soddisfano. 2. Se l'espressione logica non è minima, prima di procedere alla realizzazione del circuito corrispondente conviene minimizzarla. 3. La minimizzazione tramite l'applicazione delle regole dell'algebra di Boole può risultare difficile e comunque è scomoda; più adatto può risultare (fino a 6 variabili) l'uso delle mappe di Karnaugh. 4. Se in una tabella della verità esistono delle condizioni di indifferenza (se per una o più combinazioni d ingresso sia indifferente che l uscita risulti 0 o 1), queste vanno usate in modo da ottimizzare la minimizzazione. Laboratorio Si propone la verifica sperimentale della tabella della verità di un NAND a due ingressi. Strumenti e materiali necessari: Alimentatore stabilizzato; IC 7400 (oppure IC 4011), due resistori da 1 kohm, un resistore da 100 Ohm, un LED; Bread-board. Fasi operative: Realizzazione del circuito, come da piano di montaggio seguente; Taratura dell alimentatore e collegamento al circuito; Verifica sperimentale della tabella di verità. Circuito per la verifica della tabella della verità di una NAND, visualizzazione del livello alto. 11

Esercizi di verifica Cosa si intende per circuiti combinatori? I circuiti logici AND-OR-NOT costituiscono, nel loro insieme, un gruppo universale. Cosa intendiamo con quest affermazione e quali altri gruppi universali conosci? Per cosa è utili la minimizzazione? Minimizzare usando l algebra di Boole, le seguenti espressioni logiche: Y = AB + AB + AB + AB; Y = A ( B + C) + ABC + AB + C. Si proponga una realizzazione circuitale, con soli NAND, della seguente espressione logica: y = B + B C + B C D Data la seguente tabella della verità, si ricavino le corrispondenti forme canoniche (I et II), proponendone per ognuna di esse una realizzazione circuitale. A B C D Y 0 0 0 0 1 0 0 0 1 0 0 0 1 0 1 0 0 1 1 0 0 1 0 0 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 0 1 0 0 0 1 1 0 0 1 0 1 0 1 0 1 1 0 1 1 0 1 1 0 0 0 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 Ricavare, utilizzando i mintermini, l espressione minima corrispondente alla precedente tabella della verità. 12

Realizzare il circuito combinatorio corrispondente alla seguente tabella della verità, utilizzando esclusivamente l IC 74150: A B C D Y 0 0 0 0 1 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 0 1 0 0 0 0 1 0 1 0 0 1 1 0 1 0 1 1 1 1 1 0 0 0 1 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 0 1 1 0 1 1 1 1 1 0 0 1 1 1 1 1 Ricavare dalla seguenti Mappe di Karnaugh le corrispondenti funzioni minime CD\AB 00 01 11 10 00 1 1 01 1 1 11 1 1 10 1 1 CD\AB 00 01 11 10 00 0 0 01 0 0 0 11 10 0 0 0 0 13