Reti Logiche A II Prova - 1 febbraio 2010

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Reti Logiche A II Prova - 1 febbraio 2010"

Transcript

1 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 1 febbraio 2010 prof.ssa Cristiana Bolchini Esercizio n. 1 Data la tabella degli stati della FSM sincrona sotto riportata, si calcoli la tabella degli stati di una macchina ridotta, mostrando i passaggi fatti (analisi di compatibilità, classi di compatibilità massima e prima, copertura minima). È necessario adottare algoritmi, non è consentito risolvere il problema a occhio A A/- B/0 G/- E/1 B -/0 -/- G/- B/- C C/- E/0 F/- B/- D D/- G/1 F/0 -/1 E E/- F/- A/0 C/0 F -/- C/0 C/- F/1 G -/1 C/0 -/- F/1 Matricola Cognome Nome Istruzioni Scrivere solo sui fogli distribuiti. Non separare questi fogli. È vietato portare all esame libri, eserciziari, appunti e calcolatrici. Chiunque venga trovato in possesso di documentazione relativa al corso anche se non strettamente attinente alle domande proposte vedrà annullata la propria prova. Non è possibile lasciare l aula conservando il tema della prova in corso. Tempo a disposizione: 2h:15m. Esercizio 1 (4 punti) Esercizio 2 (3 punti) Esercizio 3 (4 punti) Esercizio 4 (3 punti) Esercizio 5 (2 punti) Nota bene: gli esercizio 1 e 3 sono relativi ad argomenti la cui conoscenza è ritenuta indispensabile e quindi devono essere svolti in modo sufficiente perché si proceda nell ulteriore valutazione del compito. Con Soluzioni Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 2 di 13

2 Soluzione Classi di compatibilità prima e calcolo della copertura B BE C BE, GF GF D GF E AG, BC,, BC F G BC, CG, BC, GC CE, CF, BF CE, BF V CLASSI VINCOLI Beneficio passo 1 Beneficio passo 2 Beneficio passo 3 Beneficio passo 4 PASSO 5 2 BC GF BD GF A E B C D Classi di compatibilità massima (BC, BD,GF,A,E): albero di compatibilità FF A ABCDG BC BCD BD BCDG C Copertura=, BC, BD, A, E = a BC = b BD= c A = d E = e a -,1 b,0 b,- a,1 b b,0 e,0 a,- b,- o c,- c c,0 a,1 a,0 b,1 o c,1 d d,- b,- a,- e,1 o c,- e e,- a,- d,0 b,0 CDG DG D G E G Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 3 di 13 Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 4 di 13

3 Esercizio n. 2 Configurazioni della matrice 2x2 vincenti: Soluzione Tabella degli stati minima Nota: in corrispondenza delle configurazioni di ingresso non ammesse (cioè che non possono presentarsi) la macchina presenta un comportamento completamente non specificato Configurazioni della matrice 2x2 non vincenti: A B,0 C,0 D,0 C,0 B A,0 A,0 A,1 A,0 C A,0 A,1 -,- A,1 D A,1 -,- -,- -, Tutte le altre configurazioni non sono ammesse. Si realizzi la specifica (diagramma degli stati e tabella degli stati minima) di una macchina sequenziale sincrona di Mealy che riceve sull ingresso due alla volta e per colonna (ingresso di due bit) i valori riportati nella matrice mette sull'uscita Z il valore 0 se non ha ancora dedotto se la configurazione è vincente o meno, 0 quando la matrice corrisponde ad una configurazione perdente, 1 quando vincente. La macchina sequenziale esamina una matrice di valori alla volta (niente sequenze sovrapposte). Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 5 di 13 Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 6 di 13

4 Esercizio n. 3 Data la tabella degli stati di una FSM sincrona, sotto riportata: a) minimizzare il numero degli stati e riportare la tabella degli stati della macchina minima b) scegliere - tra gli stati della macchina minima individuata al punto a) lo stato di RESET che NON consente di ridurre ulteriormente la macchina c) definire una possibile codifica degli stati della macchina minima individuata ai punti precedenti nell ipotesi di sintesi tramite FF D e considerando i criteri visti per un buon assegnamento d) sintetizzare le funzioni ottime δ e λ con l assegnamento identificato al punto precedente e disegnare lo schema del circuito sintetizzato IN=00 IN=01 IN=11 IN=10 A F/0 D/1 B/1 F/1 B A/1 B/1 G/0 D/0 C A/0 E/1 F/1 B/1 D D/0 F/1 G/1 A/1 E F/0 F/1 G/1 E/1 F A/0 E/1 G/1 D/1 G D/1 B/1 G/0 E/0 E data la tabella iniziale delle implicazioni, ricavata dalla tabella degli stati, da cui partire per svolgere l esercizio. B C D E F G BF FD BG FD BG BG DF AD AD AB BE BD DF AE AD Soluzione La macchina è completamente specificata. Si propagano le non equivalenze e si verificano le equivalenze (analisi dei vincoli). Il risultato è il seguente: B C D ~ E ~ ~ F ~ ~ ~ G ~ Le classi di equivalenza sono quindi: α (= AD), β (= BG), γ (= C) che corrispondono agli stati della macchina minima equivalente a quella data. Tabella degli stati della macchina minima: IN=00 IN=01 IN=11 IN=10 α α,0 α,1 β,1 α,1 β α,1 β,1 β,0 α,0 γ α,0 α,1 α,1 β,1 Domanda b) L unico stato della macchina minima che può essere scelto come stato di RESET senza modificare ulteriormente la cardinalità della macchina è lo stato γ, quindi γ = RST Si noti che l identificazione di uno stato di reset introduce in modo implicito il segnale di RESET (ingresso asincrono) che consente di realizzare le transizioni di stato da α e β a stato RST. Domanda c) Per realizzare la macchina sono necessarie 2 variabili di stato (Q1, Q0) e quindi 2 FF di tipo D. I vincoli derivati dal primo e dal secondo criterio di adiacenza per generare un buon assegnamento sono: αβ 9 αrst 2 βrst 1 Un assegnamento che soddisfa il massimo numero di adiacenze è α = 00 β = 01 RST = 10 Domanda d) Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 7 di 13 Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 8 di 13

5 Con l assegnamento definito al punto precedente è possibile ricavare la tabella delle transizioni (e delle eccitazioni) della macchina, fare la sintesi ottima delle RC che implementano D1 e D0 (funzione δ) e l uscita (funzione λ). Le espressioni algebriche ottime sono (dove I1 e I0 definiscono i due segnali di ingresso): D1= 0 D0 = Q0I0 +!Q1I1I0 U = Q0!I1 +!I1I0 +!Q0I1 Esercizio n. 4 Sia data una macchina sequenziale sincrona con ingressi (a, b) e uscite (Z1, Z2), la cui rete combinatoria che realizza le funzioni δ (stato prossimo) e λ (uscita) è rappresentata dalle seguenti espressioni: D 0 = Q1Q 2 + Q1Q 2 + ab D = abq 0 Q1Q 2b 1 + D + 2 = Q0Q 2 bq 1 Z 1 = Q1Q 0 + Q2a + ab Z + 2 = Q1Q 2 Q0Q2 Dove Q0, Q1 eq2 rappresentano le variabili stato presente Le espressioni associate a D0, D1 e D2 costituiscono la funzione δ Le espressioni associate a Z1 e Z2 costituiscono la funzione λ a) Dire se la macchina descritta è di Mealy o di Moore, giustificando la risposta. b) Realizzare la rete combinatoria tramite una PAL con OR a 2 ingressi: (1) Si riscrivano, ove necessario, le espressioni logiche per tener conto dei vincoli imposti dalla PAL. (2) Si indichino esplicitamente i termini prodotto del piano AND, le espressioni relative al piano OR e i nomi simbolici delle uscite che devono essere retroazionate. (3) Si disegni lo schema logico dettagliato del dispositivo programmato. c) Si supponga di voler realizzare la macchina di partenza tramite PLA. Si dica qual è il numero di termini prodotto del piano AND, quante sono le uscite (numero di termini del piano OR) e quali di queste devono essere retroazionate. d) Per le soluzione ai punti b), e c) e per ogni espressioni algebrica di partenza, si calcolino i ritardi massimi definiti come il numero di attraversamenti di PAL/PLA nel percorso critico, giustificando la risposta. Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 9 di 13 Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 10 di 13

6 Soluzione: La macchina è una macchina di Mealy poiché l uscita, al tempo t, dipende anche dal valore degli ingressi al tempo t (oltre che ovviamente dallo stato al tempo t). Realizzazione tramite PAL: sono da riformulare le espressioni algebriche dell uscite D0 e Z1. Con questa riformulazione le espressioni da realizzare nella sezione OR sono 7 e i termini prodotto da realizzare nella sezione AND sono 14, poiché non è possibile la condivisione di termini prodotto. Delle 7 funzioni realizzate dalla sezione OR, 5 vanno retro azionate (di cui 3 costituiscono lo stato presente Q dei 3 FF D), mentre le rimanenti 2 sono le uscite primarie. Nella sezione AND ci sono 2 ingressi primari (a e b) e 5 che derivano da retroazione. Realizzazione tramite PLA: Non è necessaria riformulazione delle espressioni algebriche di partenza e quindi si devono realizzare 5 funzioni nella sezione OR, (di cui 3 vanno retro azionate e costituiscono lo stato presente Q dei 3 FF D), mentre le rimanenti 2 sono le uscite primarie. Per la sezione AND sono necessari 10 termini prodotto (di cui 2 condivisi) e ci sono 2 ingressi primari (a e b) e 3 che derivano da retroazione. Numero di attraversamenti: nella PAL il percorso critico deriva dalla sintesi di D0 e di Z1 e in entrambi i casi il numero di attraversamenti è 2 nella PLA il percorso critico deriva dalla sintesi di una qualsiasi delle funzioni della sezione OR e quindi il numero di attraversamenti è 1 Esercizio n. 5 Data la seguente descrizione di circuito in VHDL library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; entity exam is port( a,b,i : in std_logic_vector(3 downto 0); l, clk, rst : in std_logic; out1 : out std_logic_vector(3 downto 0)); end exam; architecture mixed of exam is signal n, h : std_logic_vector(3 downto 0); signal c, f, g, e, d : std_logic; PROC1: process(clk) if (clk = '0' and clk'event) then out1 <= b-n; end if; end process; PROC2: process( l, c, g) if ( l='0' ) then h <= c&c&c&c; else h <= (not g)&g&g&g; end if; end process; g <= f or e or d; c <= a >= i; e <= a > i; f <= a > v; PROC3 : process (rst, h) if( rst= 1 ) then n <= 0000 ; else n <= h; end if; end process; d <= b <= a; end mixed; Disegnare un circuito composto da componenti elementari (porte logiche, multiplexer, bistabili) che implementi il modello VHDL proposto. Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 11 di 13 Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 12 di 13

7 Soluzione: PROC1: è modellabile con un registro (MS) a 4 bit che commuta sul fronte di discesa e riceve in ingresso il risultato della sottrazione tra i valori dei segnali b e n. PROC2: è modellabile con un MU a 2 vie PROC3: è modellabile con un MU a 2 vie (con ingresso di controllo pari a rst e ingressi dati pari a rispettivamente 0000 e h Le descrizioni strutturali g <= f or e or d; c <= a >= i; e <= a > i; f <= a > v; d <= b <= a; sono tutte assegnamenti di segnale (1 bit) derivanti dall esito dell operazione aritmetico-logica descritta a destra dell operazione di assegnamento. Ad esempio gli ultimi 4 assegnamenti si ottengono realizzando il comportamento tramite comparatori di maggioranza, uguaglianza e minoranza Reti Logiche A II Prova - 1 febbraio 209 Esercizio n pagina 13 di 13

Reti Logiche A II Prova - 11 febbraio 2008

Reti Logiche A II Prova - 11 febbraio 2008 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - febbraio 008 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Reti Logiche A Esame del 13 settembre 2007

Reti Logiche A Esame del 13 settembre 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 13 settembre 2007 prof.ssa Cristiana Bolchini Esercizio n. 1 Effettuare

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Reti Logiche A Prova di giovedì 3 febbraio 2005

Reti Logiche A Prova di giovedì 3 febbraio 2005 olitecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A rova di giovedì febbraio 005 Matricola Cognome Nome

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Reti Logiche A Appello del 9 luglio 2009

Reti Logiche A Appello del 9 luglio 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Reti Logiche A Appello del 9 luglio 2009 Matricola Cognome Nome

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Reti Logiche A - Prova di mercoledì 16 febbraio 2005 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Esercizio n. 1 Data la seguente espressione logica: ((c+a ) +c+a

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

Reti Logiche A Esame del 14 febbraio 2006

Reti Logiche A Esame del 14 febbraio 2006 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Esercizio n. Eseguire la generazione degli implicanti primi con il metodo di Quine McCluskey

Dettagli

Reti Logiche A Prova di mercoledì 12 novembre 2003

Reti Logiche A Prova di mercoledì 12 novembre 2003 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Prova di mercoledì 12 novembre 2003 Matricola Cognome Nome Istruzioni Scrivere

Dettagli

Reti Logiche A - Prova di mercoledì 17 novembre 2004

Reti Logiche A - Prova di mercoledì 17 novembre 2004 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A - Prova di mercoledì 7 novembre 2004 Matricola Cognome

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a 1 Terza esercitazione Progetto di una rete di controllo Obiettivi Progetto e analisi di macchine a stati finiti 2 Macchina a Stati Finiti (FSM) Z(n)=f(x(n)) => Sistema Combinatorio Z(n)=f(x(n),x(n-1),x(n-2),..)

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone alcolatori ElettroniciIngegneria Telematica Sintesi di Reti Sequenziali Sincrone na macchina sequenziale è definita dalla quintupla δ, λ) dove: I è l insieme finito

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 Prof. Rosario Cerbone rosario.cerbone@libero.it a.a. 2005-2006 http://digilander.libero.it/rosario.cerbone Sintesi di Reti Sequenziali Sincrone In

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Una macchina sequenziale è definita dalla quintupla I è l insieme finito dei simboli d ingresso U è l insieme finito dei simboli

Dettagli

Sintesi Sequenziale Sincrona

Sintesi Sequenziale Sincrona Sintesi Sequenziale Sincrona Sintesi comportamentale di reti sequenziali sincrone senza processo di ottimizzazione Sintesi comportamentale e architettura generale Diagramma degli stati Tabella degli stati

Dettagli

Reti Logiche A. FSM in VHDL

Reti Logiche A. FSM in VHDL Reti Logiche A FSM in VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

Sintesi Sequenziale Sincrona

Sintesi Sequenziale Sincrona Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

MPHS AA FSM in VHDL

MPHS AA FSM in VHDL MPHS AA 28-29 FSM in VHDL Cristina Silvano Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: silvano@elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE LOGIC RST

Dettagli

COGNOME: NOME: MATRICOLA:

COGNOME: NOME: MATRICOLA: Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/2002-18 febbraio 2004 - RECUPERO 1 PARTE COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere

Dettagli

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) 18 settembre 2003 Prova del 9 giugno 2003 Descrizione VHDL (a scelta, dataflow o comportamentale) di un decoder per il

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ EGLI STUI I PARMA FACOLTÀ I INGEGNERIA Corso di Reti Logiche A anno accademico 2007-2008 prof. Stefano CASELLI prof. William FORNACIARI Appello dell 8 Gennaio 2008 Bozza soluzioni del 07.01.2008

Dettagli

Minimizzazione degli Stati in una Rete Sequenziale Sincrona

Minimizzazione degli Stati in una Rete Sequenziale Sincrona Minimizzazione degli Stati in una Rete Sequenziale Sincrona Maurizio Palesi Maurizio Palesi 1 Sintesi di Reti Sequenziali Sincrone Il procedimento generale di sintesi si svolge nei seguenti passi: 1. Realizzazione

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali Reti Logiche Prof. B. Buttarazzi A.A. 29/2 Reti Sequenziali Sommario Analisi di Reti Sequenziali Sintesi di Reti Sequenziali Esercizi 3/6/2 Corso di Reti Logiche 29/ 2 Analisi di Reti Sequenziali Passare

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2007-2008 prof. Stefano CASELLI prof. William FORNACIARI Appello dell 11 Febbraio 2008 Bozza soluzioni del

Dettagli

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA.

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA. a Esercizio 1. Sintetizzare un circuito sequenziale sincrono in base alle specifiche temporali riportate nel seguito. Il circuito riceve in input solo il segnale di temporizzazione (CK) e produce tre uscite,

Dettagli

ESERCIZIO 1 Si consideri la seguente funzione f (A, B, C, D) non completamente specificata definita attraverso il suo ON-SET e DC-SET:

ESERCIZIO 1 Si consideri la seguente funzione f (A, B, C, D) non completamente specificata definita attraverso il suo ON-SET e DC-SET: Università degli Studi di Milano Corso Architettura degli elaboratori e delle reti Prof. Cristina Silvano A.A. 2004/2005 Esame scritto del 15 luglio 2005 Cognome: Matricola: Nome: Istruzioni Scrivere solo

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2006-2007 prof. Stefano CASELLI prof. William FORNACIARI I compitino del 16 novembre 2006 Bozza soluzioni del

Dettagli

Prova d esame di Reti Logiche T 13 Luglio 2016

Prova d esame di Reti Logiche T 13 Luglio 2016 Prova d esame di Reti Logiche T 13 Luglio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone Soluzione del campito scritto dell 8 settembre 204 7//204 tullio salmon cinotti Analisi di RSS Testo e quesiti - Prima parte

Dettagli

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/ novembre 2003

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/ novembre 2003 Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/2004-19 novembre 2003 COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere solo sui fogli

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequenziali sincrone ESERCIZIO N. Si esegua la sintesi di una rete sequenziale sincrona caratterizzata da un unico segnale di ingresso (X) e da un unico segnale di uscita (Z),

Dettagli

Riassunto tecnica digitale

Riassunto tecnica digitale Introduzione... 2 Operazioni... 4 OR...4 AND...4 XOR...5 Operatori logici... 5 Negazione... 6 Ottimizzare mediante il teorema di De Morgan. 7 VHDL...8 Contatori...10 Multiplexer... 11 Demultiplexer...12

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Reti sequenziali asincrone

Reti sequenziali asincrone Reti sequenziali asincrone Esercizio Una rete sequenziale asincrona è caratterizzata da due segnali di ingresso (E, X) e da un segnale di uscita (Z). I segnali di ingresso non variano mai contemporaneamente,

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2005-2006 prof. Stefano CASELLI prof. William FORNACIARI I compitino del 11 novembre 2005 Bozza soluzioni del

Dettagli

Esercizi sulle Reti Sequenziali Sincronizzate

Esercizi sulle Reti Sequenziali Sincronizzate Esercizi sulle Reti Sequenziali Sincronizzate Corso di Laurea di Ing. Gestionale e di Ing. delle Telecomunicazioni A.A. 27-28 1. Disegnare il grafo di stato di una RSS di Moore avente tre ingressi A, B,

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2006-2007 prof. Stefano CASELLI prof. William FORNACIARI Prova di recupero del 21 dicembre 2006 ozza soluzioni

Dettagli

Funzioni, espressioni e schemi logici

Funzioni, espressioni e schemi logici Funzioni, espressioni e schemi logici Il modello strutturale delle reti logiche Configurazioni di n bit che codificano i simboli di un insieme I i i n F: I S U u u m Configurazioni di m bit che codificano

Dettagli

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

Compito di analisi e sintesi dei circuiti digitali

Compito di analisi e sintesi dei circuiti digitali nome cognome matricola Compito di analisi e sintesi dei circuiti digitali Es. 1 Una rete sequenziale sincrona Mealy ha un ingresso x sul quale vengono ricevute parole di 4 bit ciascuna. Compito della rete

Dettagli

Sintesi Sequenziale Sincrona. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Sintesi Sequenziale Sincrona. Mariagiovanna Sami Corso di reti Logiche 8 Anno Sintesi Sequenziale Sincrona Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-2007- Introduzione Le uscite di un circuito sequenziale in un dato istante di tempo t dipendono: Dalla condizione iniziale

Dettagli

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

COGNOME: NOME: MATRICOLA:

COGNOME: NOME: MATRICOLA: Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2004/2005-18 febbraio 2005 - RECUPERO 1 PARTE COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere

Dettagli

ACSO Architettura dei Calcolatori e Sistemi Operativi

ACSO Architettura dei Calcolatori e Sistemi Operativi Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Luca Breveglieri prof. Giuseppe Pelagatti prof.ssa Donatella Sciuto prof.ssa Cristina Silvano ACSO Architettura

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1 Reti combinatorie Reti sequenziali Sommatore Sottrattore Generatore di sequenze Riconoscitore di sequenze PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà eseguire, in un

Dettagli

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS)

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS) Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS) Problema: Data una tabella di flusso (TdF) contraddistinta da un numero arbitrario N di stati s 1, s 2,, s N, individuare

Dettagli

RETI LOGICHE T Ingegneria Informatica. Esercitazione 2 Reti Sequenziali Asincrone

RETI LOGICHE T Ingegneria Informatica. Esercitazione 2 Reti Sequenziali Asincrone RETI LOGICHE T Ingegneria Informatica Esercitazione 2 Reti Sequenziali Asincrone Marco Lippi (marco.lippi3@unibo.it) [Parte dei lucidi sono stati realizzati da Samuele Salti] Esercizio Sintesi RSA Si vuole

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PRM FCOLTÀ DI INGEGNERI Corso di Reti Logiche anno accademico 5-6 prof. Stefano CSELLI prof. William FORNCIRI II compitino del dicembre 5 Bozza soluzioni del..5 Cognome (LEGGIBILE)...Nome

Dettagli

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano 1 Aritmetica frazionaria e fixed point Nella maggior parte delle applicazioni i numeri reali sono approssimati con numeri binari che ne rappresentano la parte intera e quella frazionaria. Il numero di

Dettagli

Automa a Stati Finiti (ASF)

Automa a Stati Finiti (ASF) Automa a Stati Finiti (ASF) E una prima astrazione di macchina dotata di memoria che esegue algoritmi Introduce il concetto fondamentale di STATO che informalmente può essere definito come una particolare

Dettagli

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo.

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. NOME e COGNOME (stampatello): Compito A Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. 0 1 S1 S7/01 S2/11 S2 S2/10 S3/11 S3 S0 S2/01 S4 S0 S5/01 S5 S6/10 S4/11 S6 S5/10

Dettagli

Progetto finale di reti logiche

Progetto finale di reti logiche Progetto finale di reti logiche (AGGIORNATO AL 13 Marzo 2018) Si vuole implementare un componente HW descritto in VHDL che, data un immagine in scala di grigi in un formato descritto successivamente, calcoli

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali Tutorato di Calcolatori

Dettagli

Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4.

Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4. I Soluzioni agli esercizi apitolo 2 Soluzione 2.. Partendo dall espressione a destra dell uguale si applica ripetutamente il teorema di e Morgan ed infine la proprietà distributiva. Soluzione 2.2. cb +

Dettagli

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni Introduzione Il VHDL e costituito da vari formati (types)ed operatori (operators) per consentire simulazione e sintesi a vari livelli Nel package STANDARD si trovano descritti quegli oggetti destinati

Dettagli

Livello logico digitale

Livello logico digitale Livello logico digitale circuiti combinatori di base e circuiti sequenziali Half Adder - Semisommatore Ingresso 2 bit, uscita 2 bit A+ B= ------ C S C=AB S=AB + AB=A B A B In Out HA A B C S S HA A C S

Dettagli

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned. ---- registro con reset e enable use IEEE.std_logic_unsigned.all; --Registro con reset sincrono e enable entity REGISTRO is port (CLK, RESET, ENABLE D Q : out std_logic end REGISTRO; architecture behavioral

Dettagli

x y z F x y z F

x y z F x y z F Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI 1 Classificazione dei circuiti logici Un circuito è detto combinatorio se le sue uscite (O i ) sono determinate univocamente dagli ingressi (I i ) In pratica

Dettagli

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 24 Gennaio 2011 COGNOME E NOME RIGA COLONNA MATRICOLA

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 24 Gennaio 2011 COGNOME E NOME RIGA COLONNA MATRICOLA Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 24 Gennaio 2011 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene 3

Dettagli

a) Si scriva la tabella ingressi-uscite e per ogni mintermine individuato si scriva la forma algebrica corrispondente:

a) Si scriva la tabella ingressi-uscite e per ogni mintermine individuato si scriva la forma algebrica corrispondente: ARCHITETTURA DEI CALCOLATORI E SISTEMI OPERATIVI - ESERCIZI DI LOGICA. 30 OTTOBRE 2015 ESERCIZIO N. 1 LOGICA COMBINATORIA Si progetti in prima forma canonica (SoP) una rete combinatoria avente 4 ingressi

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Introduzione - Modello. Introduzione - progetto e strumenti

Introduzione - Modello. Introduzione - progetto e strumenti intesi equenziale incrona intesi Comportamentale di reti equenziali incrone di Macchine enza Processo di Ottimizzate a Livello Comportamentale Introduzione intesi comportamentale e architettura generale

Dettagli

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Si progetti, utilizzando il linguaggio VHDL, una rete

Si progetti, utilizzando il linguaggio VHDL, una rete Si progetti, utilizzando il linguaggio VHDL, una rete logica in grado di realizzare il controllore di un distributore automatico di bevande. L interfaccia di I/O della rete è la seguente: ESERCIZIO entity

Dettagli

Sintesi di Reti Combinatorie

Sintesi di Reti Combinatorie Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Quine-McCluskey per reti a più uscite Mariagiovanna Sami Corso di Reti Logiche B 08 Sintesi a due livelli Reti

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Reti Logiche T. Esercizi reti sequenziali asincrone

Reti Logiche T. Esercizi reti sequenziali asincrone Reti Logiche T Esercizi reti sequenziali asincrone ESERCIZIO N. 1 Una rete sequenziale asincrona è caratterizzata da due segnali d ingresso A e C e da un segnale di uscita Z. I segnali d ingresso non possono

Dettagli

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone RETI LOGICHE T Ingegneria Informatica Esercitazione 3 Reti Sequenziali Sincrone Marco Lippi (marco.lippi3@unibo.it) [Lucidi realizzati da Samuele Salti] Esercizio Sintesi RSS Si vuole progettare una rete

Dettagli

FONDAMENTI DI INFORMATICA

FONDAMENTI DI INFORMATICA Politecnico di Milano COGNOME E NOME Scuola di Ingegneria Industriale e dell Informazione FONDAMENTI DI INFORMATICA Appello 28 Gennaio 2019 RIGA COLONNA CODICE PERSONA Spazio riservato ai docenti Il presente

Dettagli

Macchine Sequenziali

Macchine Sequenziali Macchine Sequenziali CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Tassonomia dei circuiti digitali Circuiti combinatori» Il valore

Dettagli

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/ novembre 2006

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/ novembre 2006 Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/2006-13 novembre 2006 COGNOME: SOLUZIONE NOME: MATRICOLA: Istruzioni: Scrivere solo

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

VHDL: Simulazione & Sintesi

VHDL: Simulazione & Sintesi VHDL: Simulazione & Sintesi Politecnico di Milano 18 Dicembre 2012 M. CARMINATI mcarminati@elet.polimi.it SIMULAZIONE VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 2 TESTBENCH Dopo aver

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Architettura degli Elaboratori, Esercitazione 1

Architettura degli Elaboratori, Esercitazione 1 Architettura degli Elaboratori, 2008-09 Esercitazione 1 Ogni esercitazione ha lo scopo di servire da guida per la preparazione su una specifica parte del corso. È fortemente consigliato che lo studente

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri Fondamenti di Informatica I prova in itinere 23 novembre 2012 Matricola Cognome Nome Istruzioni Durata prova: 1 ora

Dettagli

Costruzione di. circuiti combinatori

Costruzione di. circuiti combinatori Costruzione di circuiti combinatori Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1 A B (A + B) 0 0 0 0 1 1 1 0 1 1 1 1 AND (prodotto): l uscita è 1

Dettagli

Esercizi Risolti RETI LOGICHE T (Modulo 2)

Esercizi Risolti RETI LOGICHE T (Modulo 2) Esercizio 1 Utilizzando l approccio visto nella realizzazione dell adder binario interno alla ALU si esegua il procedimento di sintesi del componente ADDER_5 4 che sommi operandi in base 4 (es 3+3=12;

Dettagli

Fondamenti dell Informatica Algebra di Boole. Prof.ssa Enrica Gentile

Fondamenti dell Informatica Algebra di Boole. Prof.ssa Enrica Gentile Fondamenti dell Informatica Algebra di Boole Prof.ssa Enrica Gentile Algebra di Boole Si basa su tre operazioni logiche: AND (*) OR (+) NOT (!) Gli operandi possono avere solo due valori: Vero () Falso

Dettagli