ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL. Torino, 16/05/2005

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL. Torino, 16/05/2005"

Transcript

1 Mauro Caule mat Fabrizio Castellano mat Luigi Fasano mat ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL Torino, 16/05/2005 ENTITY sipo IS data_in: IN END sipo ; STD_LOGIC; clock_ad : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR(7 downto 0 reset : IN STD_LOGIC; clock_out : IN STD_LOGIC ARCHITECTURE behavioral OF sipo IS SIGNAL temp : STD_LOGIC_VECTOR(7 downto 0 process (clock_out) if clock_out= '1' and clock_out'event then data_out<=temp; process (clock_ad, reset) if reset= '0' then temp <= (others=> '0' data_out <= (others=> '0' elsif clock_ad = '1' and clock_ad'event then temp(0) <= data_in; for i in 1 to 7 loop temp(i) <= temp(i-1 end loop; END behavioral;

2 ENTITY div_freq IS clk_in : IN STD_LOGIC; clk_out : OUT STD_LOGIC; cs_out : OUT STD_LOGIC END div_freq ; -- Library declaration ARCHITECTURE behavioral OF div_freq IS PROCESS(clk_in) CONSTANT N : integer := 50; VARIABLE cnt : integer range 0 to N; VARIABLE cnt2 : integer range 0 to 16*N; VARIABLE status : std_logic := '0'; VARIABLE clk_cs : std_logic := '0'; cs_out <= clk_cs; clk_out <= status; if (clk_in'event and clk_in = '1') then cnt2:=cnt2+1; cnt:=cnt+1; if (cnt=n) then cnt:=0; if (status='0') then status:='1'; else status:='0'; if (cnt2=n*16) then cnt2:=0; if (clk_cs='0') then clk_cs:='1'; else clk_cs:='0'; END behavioral;

3 use ieee.std_logic_unsigned.all; ENTITY fwrectifier IS --clk : IN STD_LOGIC; --clock fw_hw : IN STD_LOGIC; -- 1= full wave, 0 half wave data_in : IN STD_LOGIC_VECTOR(7 downto 0 -- ingresso data_out : OUT STD_LOGIC_VECTOR(7 downto 0 --uscita level: IN STD_LOGIC_VECTOR(7 downto 0) -- livello centrale END fwrectifier; ARCHITECTURE struct OF fwrectifier IS signal s_out : STD_LOGIC_VECTOR(7 downto 0 process(data_in,level) if data_in > level then s_out <= data_in; elsif fw_hw = '0' then s_out <= level; else s_out <= level + level - data_in; data_out <= s_out; END struct;

4 use ieee.std_logic_unsigned.all; ENTITY volt_dig IS clk_io : IN STD_LOGIC; data_adc : IN STD_LOGIC; cs : OUT STD_LOGIC; io_clock : OUT STD_LOGIC; data1 : OUT STD_LOGIC_VECTOR(7 downto 0 data2 : OUT STD_LOGIC_VECTOR(7 downto 0 rst : IN STD_LOGIC; rect_in: IN std_logic END volt_dig ; ARCHITECTURE struct OF volt_dig IS signal t_osc : std_logic; signal t_data : std_logic; signal t_cs : std_logic; signal t_clk_ad : std_logic; signal t_data_out: STD_LOGIC_VECTOR(7 downto 0 signal t_sipo_out: STD_LOGIC_VECTOR(7 downto 0 signal t_reset: std_logic; signal t_1: std_logic; signal t_128: std_logic_vector(7 downto 0 -- Component declarations COMPONENT div_freq clk_in : IN STD_LOGIC; clk_out : OUT STD_LOGIC; cs_out : OUT STD_LOGIC END COMPONENT; COMPONENT sipo data_in: IN STD_LOGIC; clock_ad : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR(7 downto 0 reset : IN STD_LOGIC; clock_out : IN STD_LOGIC

5 END COMPONENT; COMPONENT fwrectifier --clk : IN STD_LOGIC; --clock fw_hw : IN STD_LOGIC; -- 1= full wave, 0 half wave data_in : IN STD_LOGIC_VECTOR(7 downto 0 -- ingresso data_out : OUT STD_LOGIC_VECTOR(7 downto 0 --uscita level: IN STD_LOGIC_VECTOR(7 downto 0) -- livello centrale END COMPONENT; t_1 <= '1'; t_128 <= " "; t_reset <= '1'; t_osc <= clk_io; t_data <= data_adc; --t_reset <= rst; data1 <= t_data_out; data2 <= t_data_out; -- uscite -- uscite -- Component instantiation freq_div0:div_freq PORT MAP ( clk_in => t_osc, clk_out => t_clk_ad, cs_out => t_cs si_po:sipo PORT MAP ( data_in => t_data, clock_ad => t_clk_ad, data_out => t_sipo_out, reset => t_reset, clock_out => t_cs rect:fwrectifier PORT MAP( --clk => t_cs, --clock fw_hw => rect_in, -- 1= full wave, 0 half wave data_in => t_sipo_out,-- ingresso data_out => t_data_out, --uscita level => t_ livello centrale

6 cs <= t_cs; io_clock <= t_clk_ad; END struct;

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned. ---- registro con reset e enable use IEEE.std_logic_unsigned.all; --Registro con reset sincrono e enable entity REGISTRO is port (CLK, RESET, ENABLE D Q : out std_logic end REGISTRO; architecture behavioral

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr;

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; Modello sequenziale: i Process Modello sequenziale ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; ARCHITECTURE seq OF ffsr IS ( r PROCESS(s, IF s = 1 AND r = 0 THEN q

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a 1 Terza esercitazione Progetto di una rete di controllo Obiettivi Progetto e analisi di macchine a stati finiti 2 Macchina a Stati Finiti (FSM) Z(n)=f(x(n)) => Sistema Combinatorio Z(n)=f(x(n),x(n-1),x(n-2),..)

Dettagli

Elettronica delle TLC II Esercitazione I

Elettronica delle TLC II Esercitazione I Elettronica delle TLC II Esercitazione I 1. Obbiettivo esercitazione: L esercitazione si pone l obbiettivo di realizzare un voltmetro a due cifre decimali utilizzando la FPGA messa a disposizione dalla

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

Note su VHDL. 22 gennaio 2004

Note su VHDL. 22 gennaio 2004 Note su VHDL 22 gennaio 2004 Design Entity L unità di base di un modello VHDL consiste nella Design Entity, che può rappresentare un intero sistema, un circuito stampato, un circuito integrato oppure una

Dettagli

Sequential Processing

Sequential Processing Sequential Processing Istruzioni sequenziali: comandi eseguiti in maniera seriale, uno dopo l'altro (comune ai linguaggi di programmazione) TUTTE le istruzioni in una architecturesono concorrenti (vengono

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

VHDL - Introduzione. //

VHDL - Introduzione. // VHDL - Introduzione VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuits Scopo presentazione: introdurre un sottoinsieme del sottoinsieme del linguaggio VHDL utilizzabile

Dettagli

Reti Logiche A. Introduzione al VHDL

Reti Logiche A. Introduzione al VHDL Reti Logiche Introduzione al VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it 1 Sommario Introduzione Struttura di un modello

Dettagli

Descrizioni VHDL Behavioral

Descrizioni VHDL Behavioral 1 Descrizioni VHDL Behavioral In questo capitolo vedremo come la struttura di un sistema digitale è descritto in VHDL utilizzando descrizioni di tipo comportamentale. Outline: process wait statements,

Dettagli

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS Il VHDL 3 parte Tipi Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS 1 Definizione di un nuovo tipo TYPE Nome_tipo IS Definizione_tipo;

Dettagli

Reti Logiche A Esame del 13 settembre 2007

Reti Logiche A Esame del 13 settembre 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 13 settembre 2007 prof.ssa Cristiana Bolchini Esercizio n. 1 Effettuare

Dettagli

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano 1 Aritmetica frazionaria e fixed point Nella maggior parte delle applicazioni i numeri reali sono approssimati con numeri binari che ne rappresentano la parte intera e quella frazionaria. Il numero di

Dettagli

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07 UsodelVHDL Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Architettureeretilogiche VHDL UsodelVHDL

Dettagli

Architetture e reti logiche. Esercitazioni VHDL. a.a. 2005/06. Uso del VHDL. Stefano Ferrari

Architetture e reti logiche. Esercitazioni VHDL. a.a. 2005/06. Uso del VHDL. Stefano Ferrari Architetture e reti logiche Esercitazioni VHDL a.a. 2005/06 Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di Milano

Dettagli

Elettronica delle Telecomunicazioni II - Esercitazione 1. Interfaccia di convertitori A/D e A/D con logica programmabile

Elettronica delle Telecomunicazioni II - Esercitazione 1. Interfaccia di convertitori A/D e A/D con logica programmabile Elettronica delle Telecomunicazioni II - Esercitazione 1 Interfaccia di convertitori A/D e A/D con logica programmabile 1. Obbiettivo dell esercitazione: Questa esercitazione ha l obbiettivo di realizzare

Dettagli

$ % 16 dicembre Giovanni Beltrame 2

$ % 16 dicembre Giovanni Beltrame 2 ! "# $ % Giovanni Beltrame 2 & VHDL è un linguaggio di descrizione dell hardware (Hardware Description Language) Per hardware si intendono diverse cose a seconda del contesto L hw si può descrivere a vari

Dettagli

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

VHDL come strumento di progetto di circuiti digitali

VHDL come strumento di progetto di circuiti digitali VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 1 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 VHDL Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di

Dettagli

Riassunto tecnica digitale

Riassunto tecnica digitale Introduzione... 2 Operazioni... 4 OR...4 AND...4 XOR...5 Operatori logici... 5 Negazione... 6 Ottimizzare mediante il teorema di De Morgan. 7 VHDL...8 Contatori...10 Multiplexer... 11 Demultiplexer...12

Dettagli

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) 18 settembre 2003 Prova del 9 giugno 2003 Descrizione VHDL (a scelta, dataflow o comportamentale) di un decoder per il

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

VHDL. Il linguaggio FOCUS

VHDL. Il linguaggio FOCUS ON Il linguaggio VHDL di Antonio Di Stefano Il linguaggio VHDL consente di descrivere ed implementare circuiti complessi utilizzando tecnologie quali FPGA, CPLD, ASIC e structured ASIC. In questo articolo

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Descrizione di macchine a stati tramite VHDL

Descrizione di macchine a stati tramite VHDL Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara (ENDIF) FSMs VHDL Ling. di descr. dell hardware 1 / 35 Motivazioni Introdurre la descrizione di FSM in VHDL Introdurre

Dettagli

Calcolatori Elettronici M Modulo Linguaggio VHDL Parte 2/2

Calcolatori Elettronici M Modulo Linguaggio VHDL Parte 2/2 Calcolatori Elettronici M Modulo 2 03 - Linguaggio VHDL Parte 2/2 1 Approfondimento su segnali e variabili Progettare in VHDL una rete che periodicamente dopo tre periodi di clock setta al livello logico

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Reti Logiche A. FSM in VHDL

Reti Logiche A. FSM in VHDL Reti Logiche A FSM in VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE

Dettagli

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore)

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore) Riepilogo su FSM Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara FSM: i) insieme finito di simboli di ingresso; ii) insieme finito di simboli di uscita; iii) un

Dettagli

Nonostante l avvento delle più moderne

Nonostante l avvento delle più moderne di terminati ad entrambe le estremità con un impedenza di questo valore. Come già detto se si vuole ottenere la massima luminosità di uno dei segnali di colore occorre fornire circa 0.7V. Per fare questo

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

MPHS AA FSM in VHDL

MPHS AA FSM in VHDL MPHS AA 28-29 FSM in VHDL Cristina Silvano Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: silvano@elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE LOGIC RST

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Progetto finale di reti logiche

Progetto finale di reti logiche Progetto finale di reti logiche (AGGIORNATO AL 13 Marzo 2018) Si vuole implementare un componente HW descritto in VHDL che, data un immagine in scala di grigi in un formato descritto successivamente, calcoli

Dettagli

Compito di linguaggi di descrizione dell hardware

Compito di linguaggi di descrizione dell hardware Compito di linuai di descrizione dell hardware Esercizio 1 Si realizzi un modello comportamentale in VHDL di un lath di tipo D trasparente (con inressi d e clk, ed uscita q). Quando clk = 1, il latch é

Dettagli

Laboratorio di Sistemi Digitali M A.A. 2010/11

Laboratorio di Sistemi Digitali M A.A. 2010/11 if (RESET_N = '0') then for col in 0 to BOARD_COLUMNS-1 loop for row in 0 to BOARD_ROWS-1 loop... elsif (rising_edge(clock)) then... Laboratorio di Sistemi Digitali M 4 Esercitazione Tetris: Datapath Primiano

Dettagli

Reti Logiche A II Prova - 11 febbraio 2008

Reti Logiche A II Prova - 11 febbraio 2008 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - febbraio 008 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Corso di Reti Logiche A

Corso di Reti Logiche A Politecnico di Milano Reti Logiche A Corso di Reti Logiche A Introduzione al VHDL - Una prima presentazione - VHSIC-HDL HDL Very High Speed Integrated Circuit - Hardware Description Language Marco D. Santambrogio:

Dettagli

Calcolatori Elettronici M Modulo 2. 04 - Mapping di un progetto VHDL su FPGA

Calcolatori Elettronici M Modulo 2. 04 - Mapping di un progetto VHDL su FPGA Calcolatori Elettronici M Modulo 2 04 - Mapping di un progetto VHDL su FPGA In collaborazione con: Davide Nanni*, Andrea Bucaletti e Domenico Di Carlo 1 L obiettivo di questa lezione è mostrare come sia

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Si progetti, utilizzando il linguaggio VHDL, una rete

Si progetti, utilizzando il linguaggio VHDL, una rete Si progetti, utilizzando il linguaggio VHDL, una rete logica in grado di realizzare il controllore di un distributore automatico di bevande. L interfaccia di I/O della rete è la seguente: ESERCIZIO entity

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Christian Pilato

Christian Pilato Politecnico di Milano Introduzione al VHDL Christian Pilato pilato@elet.polimi.it Sommario Introduzione Struttura di un modello Interfaccia Funzionalità Concetti base Livelli di astrazione Concorrenza

Dettagli

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni Introduzione Il VHDL e costituito da vari formati (types)ed operatori (operators) per consentire simulazione e sintesi a vari livelli Nel package STANDARD si trovano descritti quegli oggetti destinati

Dettagli

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language Introduzione al VHDL Corso introduttivo al VHDL Giovanni De Luca VHDL VHDL è l acronimo di VHSIC Hardware Description Language VHSIC è l acronimo di Very High Speed Integrated Circuit La storia Il VHDL

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici UNIVERSITÀ DEGLI STUDI DI UDINE Facoltà di Ingegneria Corso di Laurea Specialistica in Ingegneria Gestionale dell Informazione Dipartimento di Ingegneria Elettrica, Gestionale e Meccanica Calcolatori Elettronici

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Introduzione al VHDL Lezione 2

Introduzione al VHDL Lezione 2 Introduzione al VHDL Lezione 2 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

VHDL: Simulazione & Sintesi

VHDL: Simulazione & Sintesi VHDL: Simulazione & Sintesi Politecnico di Milano 18 Dicembre 2012 M. CARMINATI mcarminati@elet.polimi.it SIMULAZIONE VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 2 TESTBENCH Dopo aver

Dettagli

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30 Sistemi per la Progettazione Automatica Informatica - Tiziano Villa 17 Marzo 2008 Nome e Cognome: Matricola: Posta elettronica: problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3

Dettagli

Pong Porting. Autori: Alloni Fabio Alni Matteo Castiglioni William. Data: 23/3/2005. Versione: 1.2. Stato:Draft. Revisore: Marco D.

Pong Porting. Autori: Alloni Fabio Alni Matteo Castiglioni William. Data: 23/3/2005. Versione: 1.2. Stato:Draft. Revisore: Marco D. Pong Porting Autori: Alloni Fabio Alni Matteo Castiglioni William Revisore: Marco D. Santambrogio Data: 23/3/2005 Versione: 1.2 Stato:Draft Diffusione del documento Documento interno al laboratorio di

Dettagli

Addizione tra numeri binari

Addizione tra numeri binari Addizione tra numeri binari A=a n-1 a n-2...a i...a 0 B=b n-1 b n-2...b i...b 0 s i =a i b i c in c out =a i b i + a i c in + b i c in a i b i FA c out c in S=s n s n-1 s n-2...s i...s 0 s i a n 1 b n

Dettagli

Complementi ed Esercizi di Reti Logiche in VHDL

Complementi ed Esercizi di Reti Logiche in VHDL Calcolatori Elettronici M Complementi ed Esercizi di Reti Logiche in VHDL in collaborazione con Francesco Maria Sprotetto 1 Premessa In questo progetto sono stati implementati gli esercizi descritti in

Dettagli

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli Regolamentazioni AAMS Descrizione del progetto GAMEGAME RANDOM RANDOM 1-2-31 GAME GAME 2 FINALFINAL

Dettagli

Reti Logiche A II Prova - 1 febbraio 2010

Reti Logiche A II Prova - 1 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 1 febbraio 2010 prof.ssa Cristiana Bolchini Esercizio n. 1 Data la

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package VHDL Testbenches La libreria IEEE La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench La libreria IEEE La libreria standard IEEE è composta 6 package std_logic_1164 std_logic_arith

Dettagli

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni Progetto di sistemi elettronici LA - esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni copie dei lucidi presentati a lezione breve guida all utilizzo di QUARTUS codici VHDL sommatore a 4

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

UART TX... VERSIONE CORRETTA!

UART TX... VERSIONE CORRETTA! dadduni UART TX... VERSIONE CORRETTA! 30 September 2018 Introduzione Questo articoletto è una naturale prosecuzione del procedente presente sul mio blog. L'avevo già detto nel precedente: non ho tutte

Dettagli

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2 Calcolatori Elettronici M Modulo 2 02 - Linguaggio VHDL Parte 1/2 1 Caratteristiche dei linguaggi HDL Gli HDL, essendo linguaggio di programmazione finalizzati a modellare l HARDWARE, presentano delle

Dettagli

orologio e display cont 16

orologio e display cont 16 Tocci giovanni orologio e display obiettivo: costruzione di un orologio che sappia tenere in conto minuti e secondi. specifiche di progetto: Il nostro orologio, sarà costituito da : divisore di frequenza.

Dettagli

ISTITUTO NAZIONALE DI FISICA NUCLEARE

ISTITUTO NAZIONALE DI FISICA NUCLEARE ISTITUTO NAZIONALE DI FISICA NUCLEARE Sezi one di Tri est e INFN- TS/ TCN- 07/ 04 08 Novembre 2007 CARATTERIZZAZIONE DEL CAVO DI TRASMISSIONE DATI DA UTILIZZARE NEL SISTEMA DI ACQUISIZIONE DEL TELESCOPIO

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Calcolatori Elettronici Anno Accademico 2001/2002. Introduzione al VHDL. Sommario. Introduzione Struttura di un modello VHDL: Concetti base del VHDL:

Calcolatori Elettronici Anno Accademico 2001/2002. Introduzione al VHDL. Sommario. Introduzione Struttura di un modello VHDL: Concetti base del VHDL: Sommario alcolatori Elettronici nno ccademico 2001/2002 Introduzione al VHL Gianluca Palermo Politecnico di Milano ipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it Introduzione

Dettagli

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A Technology Dependance Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Introduzione al linguaggio VHDL F.Campi A.a. 2004-2005 Design Iteration Semicustom Design Flow Pre-Layout

Dettagli

Descrizione VHDL di componenti combinatori

Descrizione VHDL di componenti combinatori Descrizione VHDL di componenti combinatori 5 giugno 2003 1 Decoder Il decoder è un componente dotato di N ingressi e 2 N uscite. Le uscite sono poste tutte a 0 tranne quella corrispondente al numero binario

Dettagli

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard)

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard) Tipi di segnali (logici) predefiniti Tipo bit (definito nel package standard) Rappresentazione dei segnali in VHDL Approfondimento del corso di Linguaggi di descrizione dell hardware type Bit is ('0',

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 14/7/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 14/7/2015 Appello d esame del 14/7/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

CAPITOLO 6: STILI DI CODIFICA VHDL PER LOGICHE PROGRAMMABILI

CAPITOLO 6: STILI DI CODIFICA VHDL PER LOGICHE PROGRAMMABILI CAPITOLO 6: STILI DI CODIFICA VHDL PER LOGICHE PROGRAMMABILI 1. VHDL Il VHDL (VHSIC Hardware Description Language) è un linguaggio di descrizione dell hardware che consente la progettazione di circuiti

Dettagli

Metodologie di Progettazione Hardware-Software

Metodologie di Progettazione Hardware-Software Metodologie di Progettazione Hardware-Software Il Very High Speed Integrated Circuit Hardware Description Language ( VHDL ) Metodologie di Progettazione Hardware/Software LS Ing. Informatica 1 Gli Hardware

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Note di VHDL STEFANO FERRARI Architetture e reti logiche Note di VHDL Pagina 2 di 56 Indice 1. Introduzione........................................

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

ARCHITETTURA DEI SISTEMI DI ELABORAZIONE

ARCHITETTURA DEI SISTEMI DI ELABORAZIONE ARCHITETTURA DEI SISTEMI DI ELABORAZIONE Progetto di una ALU a 16 bit Sommario Progetto di una ALU a 16 bit... 1 Introduzione... 2 Specifiche... 3 Implementazione... 3 Button Manager... 7 Terminale...

Dettagli

Elaborato di Architettura dei Sistemi Integrati

Elaborato di Architettura dei Sistemi Integrati Elaborato di Architettura dei Sistemi Integrati Indice Indice... 1 Prima Esercitazione: Adder a 16bit... 3 Seconda Esercitazione: ALU del processore... 7 Modulo aritmetico... 7 Modulo logico...11 ALU Unità

Dettagli

Università Federico II - Napoli - A.A. 2011/2012 Corso di Laurea in Ingegneria Elettronica

Università Federico II - Napoli - A.A. 2011/2012 Corso di Laurea in Ingegneria Elettronica Università Federico II - Napoli - A.A. 2011/2012 Corso di Laurea in Ingegneria Elettronica Architettura dei Sistemi Integrati Elaborato Progettazione microprocessore Pico16 Alunno X X Professore Antonio

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015 Appello d esame del 17/6/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la 1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la documentazione, la simulazione e la sintesi di sistemi digitali.

Dettagli

Microprocessore a 16 bit in tecnologia CMOS 45nm

Microprocessore a 16 bit in tecnologia CMOS 45nm Descrizione Microprocessore a 16 bit in tecnologia CMOS 45nm Realizzare interamente un semplice microprocessore Pico a 16 bit, partendo dalla unità logico-aritmetica (ALU) fino ad arrivare al microcontrollore.

Dettagli

Obbiettivi del Modulo

Obbiettivi del Modulo 1 Obbiettivi del Modulo Introdurre i costrutti strutturali Utilizzo dei components Dichiarazione Instanza Indicazioni sulla connessione dei componenti Utilizzo delle dichiarazioni di configurazioni Istruzione

Dettagli

Linguaggi di descrizione dell hardware Progetti a.a. 2016/17

Linguaggi di descrizione dell hardware Progetti a.a. 2016/17 Linguaggi di descrizione dell hardware Progetti a.a. 2016/17 I progetti vengono assegnati dal docente sulla base delle preferenze degli studenti. Si raccomanda di inserire come soggetto in qualsiasi mail

Dettagli

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Reti Logiche A - Prova di mercoledì 16 febbraio 2005 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Esercizio n. 1 Data la seguente espressione logica: ((c+a ) +c+a

Dettagli

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management Descrizione di Macchine a Stati finiti in VHDL Descrizioni di Macchine a Stati finiti in VHDL In questa lezione vedremo come un sistema digitale sequenziale può essere descritto in VHDL. Outline: Macchine

Dettagli