Calcolatori Elettronici Anno Accademico 2001/2002. Introduzione al VHDL. Sommario. Introduzione Struttura di un modello VHDL: Concetti base del VHDL:

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Calcolatori Elettronici Anno Accademico 2001/2002. Introduzione al VHDL. Sommario. Introduzione Struttura di un modello VHDL: Concetti base del VHDL:"

Transcript

1 Sommario alcolatori Elettronici nno ccademico 2001/2002 Introduzione al VHL Gianluca Palermo Politecnico di Milano ipartimento di Elettronica e Informazione gpalermo@fusberta.elet.polimi.it Introduzione Struttura di un modello VHL: Interfaccia del modello Funzionalità del modello oncetti base del VHL: Livelli di astrazione oncorrenza Sequenzialità iverse descrizioni VHL Gerarchia Temporizzazioni 1 2 Introduzione Obiettivo La tecnologia microelettronica, basata sull utilizzo dei semiconduttori, ha subito un enorme evoluzione negli ultimi decenni. Il continuo incremento del livello di integrazione dei dispositivi microelettronici ha permesso la realizzazione di sistemi di complessità crescente. L elevato livello di integrazione implica: Raggiungimento di prestazioni sempre più spinte Riduzione dei costi umento dell affidabilità La rapida evoluzione tecnologica del mercato microelettronico rende un circuito rapidamente obsoleto Riduzione del time-to-market di un circuito o sistema digitale Riduzione dei tempi di sviluppo. Importanza strategica delle metodologie e dei tool (omputer ided esign) per raggiungere gli obiettivi di progetto nel rispetto dei tempi di sviluppo. reazione di modelli di circuiti e sistemi digitali utilizzando un linguaggio di descrizione dell hardware integrato in un ambiente di progetto E (Electronic esign utomation) per la sintesi e la simulazione. HL (Hardware escription Language): VHL Verilog VHL VHSI Hardware escription Language Linguaggio per creare modelli di progetti hardware VHSI Very High Speed Integrated ircuit mbienti di progetto per creare, compilare, e simulare modelli descritti in VHL: ModelSim di Mentor Graphics 3 4

2 VHL Il processo di modellizzazione in VHL Nato negli anni 80 come progetto del U.S. epartment of efense. Version 7.2: prima versione pubblica del linguaggio disponibile nel IEEE Std : primo standard completo del linguaggio. IEEE Std : versione aggiornata del linguaggio rilasciata nel IEEE Std 1164: definisce un package standard per il tipo di dato MVL9 (Multi Valued Logic, 9 valori). Lo sviluppo di un modello VHL a partire dalla specifica concettuale avviene attraverso un processo di raffinamento basato sulla ripetizione delle fasi di compilazione, analisi e simulazione. nalisi ompilazione nalisi Simulazione La specifica concettuale consiste in una descrizione: dell INTERFI del modello; della FUNIONLIT del modello. 5 6 Struttura di un modello VHL esign Entity esign Entity Entity eclaration rchitecture ody INTERFI FUNIONLIT L unità di base di un modello VHL consiste nella esign Entity, che può rappresentare un intero sistema, una P (Printed ircuit oard), un circuito integrato oppure una porta logica elementare. La Entity eclaration definisce l interfaccia del modello. L rchitecture ody definisce la funzionalità del modello. Un modello VHL può essere creato a diversi livelli di astrazione (behavioral, dataflow, structural) secondo un processo di raffinamento del modello iniziale. ll interno di un modello VHL, ad una stessa Entity eclaration possono corrispondere diverse rchitecture ody. Ogni diversa architettura rappresenta una diversa realizzazione della stessa funzionalità del modello per mettere in luce un diverso aspetto progettuale cioè fornisce una diversa implementazione di una stessa funzionalità. 7 8

3 Modello VHL Struttura di un modello VHL Supporta descrizione della funzionalità del modello a diversi livelli di astrazione: esign Entity ESRIIONE OMPORTMENTLE o EHVIORL supporta descrizioni algoritmiche; Entity eclaration ESRIIONE FLUSSO TI o TFLOW supporta descrizioni a livello di trasferimento del flusso dati tra registri. ESRIIONE STRUTTURLE o STRUTURL supporta descrizioni di strutture composte dall interconnessione di componenti di livello gerarchico inferiore. rch. rch. ESRIIONE MIST 9 10 Specifica concettuale nalisi della specifica La specifica ad alto livello consiste in generale in una descrizione della funzionalità desiderata. Esempio: reare una entity che riceve in ingresso due segnali digitali e genera in uscita un singolo segnale. Se entrambi i segnali di ingresso sono bassi, il segnale di uscita deve essere alto. Per ogni altra combinazione degli ingressi, l uscita deve essere bassa. entity Generazione della tabella della verità: entity Ingressi Uscita

4 Entity eclaration Istruzione PORT efinisce una entity e la sua interfaccia con l ambiente esterno, non definisce la funzionalità del modello. Formato: entity ENTITY_NME is [generic (names: type [:= expression])] port (PORT_LIST); --lista dei segnali di interfaccia end ENTITY_NME; Esempio: Simbolo del modello nor_gate e definizione della entity nor_gate. NOR_GTE entity NOR_GTE is generic (delay : time:= 5ns); port (, : in bit; : out bit); end NOR_GTE; Identifica l insieme dei segnali di interfaccia della entity per comunicare con l ambiente esterno. Formato: port (NME_LIST: mode type; NME_LIST: mode type); Mode identifica la direzione del segnale cioè la direzione del flusso dati attraverso una porta (esempio in, out, inout). Type identifica il tipo del segnale cioè un insieme di valori che il segnale può assumere (esempio 0 e 1) e un insieme di operazioni che possono essere eseguite sul segnale Esempio di Entity eclaration rchitecture ody esign Entity Entity eclaration entity NOR_GTE is port (, : in bit; : out bit); end NOR_GTE; rchitecture ody escrive la funzionalità del modello attraverso la definizione delle relazioni funzionali tra gli ingressi e le uscite di un modello. Formato: architecture OY_NME of ENTITY_NME is -- istruzioni dichiarative -- istruzioni per descrivere la funzionalità del modello end OY_NME; Esempio: NOR_GTE architecture T_FLOW of NOR_GTE is <= nor ; end T_FLOW; 15 16

5 Esempio di rchitecture ody esign Entity Modello VHL NOR_GTE esign Entity Entity eclaration Entity eclaration entity NOR_GTE is port (, : in bit; : out bit); end NOR_GTE; rchitecture ody rchitecture ody architecture T_FLOW of NOR_GTE is <= nor ; end T_FLOW; architecture T_FLOW of NOR_GTE is <= nor ; end T_FLOW; reazione del odice Sorgente Il codice sorgente VHL può essere posizionato in qualunque directory e scritto usando un qualunque text editor. Il nome del codice sorgente VHL può essere qualunque (anche se si consiglia di usare il nome stesso della entity) e il suffisso del file deve essere.vhd Esempio del codice nor_gate.vhd entity NOR_GTE is port (, : in bit; : out bit); end NOR_GTE; Metodologia di Simulazione Il codice sorgente VHL viene compilato, gli eventuali errori sintattici devono essere corretti e il codice deve essere ricompilato. Il codice compilato viene simulato per analizzare la correttezza della funzionalità descritta nel modello VHL. evono essere indicati al simulatore i segnali di ingresso, uscita ed eventualmente anche segnali interni le cui forme d onda devono essere tracciate nel Waveform Editor. eve essere fornita al simulatore la sequenza degli stimoli relativi ai segnali di ingresso. nalisi delle forme d onda di uscita per verificare se rispecchiano il comportamento desiderato del circuito. architecture T_FLOW of NOR_GTE is end T_FLOW; <= nor ; Esempio di simulazione per NOR_GTE 19 20

6 oncetti base del VHL Livelli di astrazione di un modello VHL Supporta la descrizione della funzionalità del modello a diversi livelli di astrazione. oncorrenza: le strutture hardware sono intrinsecamente concorrenti e composte dall interconnessione di componenti elementari (le cui attività avvengono in parallelo). Il concetto di concorrenza supportato sia dai modelli strutturali sia dal concetto di processi multipli concorrenti tra loro. Supporta istruzioni sequenziali all interno di un processo. Gerarchia: data la complessità progettuale occorre organizzare il progetto su diversi livelli gerarchici, che possono essere descritti a diversi livelli di astrazione. Temporizzazioni: necessità di modellizzare l andamento temporale dei segnali attraverso la descrizione di forme d onda. Supporta la descrizione della funzionalità del modello a diversi livelli di astrazione: ESRIIONE OMPORTMENTLE (EHVIORL); ESRIIONE FLUSSO TI (TFLOW); ESRIIONE STRUTTURLE (STRUTURL); ESRIIONE MIST oncorrenza Le strutture hardware sono intrinsecamente concorrenti e composte dall interconnessione di componenti elementari. Le attività sono svolte in parallelo dai diversi componenti. Una descrizione strutturale descrive l interconnessione tra componenti elementari. Gerarchia ata la complessità progettuale occorre organizzare il progetto su diversi livelli gerarchici. Una descrizione di tipo strutturale descrive l interconnessione di componenti di livello gerarchico inferiore. I singoli componenti di livello gerarchico inferiore possono essere descritti a diversi livelli di astrazione. a b half adder a sum b cout temp sum half adder a sum sum cin b cout temp carry 2 full adder temp carry 1 or2 b a z cout 23 24

7 ichiarazioni di costanti e segnali Una costante è un nome assegnato ad un valore fisso: costant name: type := expression; costant name: array_type(index_costraint) := expression; I segnali connettono le varie entity e comunicano i cambiamenti di valore tra i processi. signal name: type := expression; signal name: array_type(index_costraint) := expression; Esempi: costant vdd: Real:= 4.5; costant FIVE_to: std_logic_vector (0 to 3) := 0101 ; costant FIVE_downto: std_logic_vector (3 downto 0):= 1010 ; signal count: integer range 1 to 10; signal parity_bit: bit; signal system_bus: bit_vector (15 downto 0); VHL Strutturale Il VHL strutturale è simile ai linguaggi presenti in altri strumenti per la descrizione dell elenco delle connessioni Per potere ottenere una descrizione VHL di tipo strutturale è necessaria la dichiarazione dei componenti prima del loro uso omponent component_name port( names : direction type ); end component; Esempio: nd a tre ingressi entity and3 is port (a3,b3,c3: in bit; o3: out bit); and3 end and3; architecture struct of and3 is and2 a3 and2 component and2 port(a2,b2: in bit; b3 o2: out bit); end component; c3 end struct; d VHL strutturale -2 Una volta dichiarati i componenti vanno ora instanziati e connessi: label : component_name port map ( [named positional] ); Esempio: nd a tre ingressi entity and3 is port (a3,b3,c3: in bit; o3: out bit); end and3; architecture struct of and3 is component and2 port(a2,b2: in bit; o2: out bit); end component; Positional signal int_sig: bit; u1: and2 port map ( a3, b3, int_sig); u2: and2 port map ( a2=>int_sig, o2=>o3, b2=>c3); end struct; Named Esempio: Modello di MUX2 Esempio: Scrivere il modello VHL strutturale del componente MUX2 descritto dalla seguente specifica: SEL MUX2 SEL N2 NOT_SEL INV N2 1 2 SEL OR

8 Esempio: Modello di MUX4 Esempio: Scrivere il modello VHL strutturale del componente MUX4 utilizzando come componenti i MUX2 precedentemente realizzati: Esempio: Modello di Mux Esempio: Scrivere il modello VHL strutturale del componente MUX4 utilizzando solo porte logiche: MUX4 SEL1 SEL0 Ingressi Uscita SEL0 SEL SEL0 SEL VHL dataflow Istruzioni oncorrenti La descrizione ataflow di un modello VHL si basa sulla trasformazione dei segnali all interno della Entity. ssegnamento dei segnali signal_name <= value; Esempio Halfdder: architecture d_flow of Halfdder is sum <= a xor b; carry <= a and b; end d_flow; ssegnamento per i vettori: bus_out(4) <= data(2); rotate_sig(7 downto 0) <= sig(0 to 7); 31 Eseguite in parallelo nello stesso istante di tempo. Il loro comportamento è indipendente dall ordine con il quale sono scritte nel codice VHL. Esempio: ISTRUIONI I SSEGNMENTO EI SEGNLI: X <= and ; <= and X; oppure <= and X; X <= and ; La struttura hardware corrispondente è intrinsecamente concorrente e composta dall interconnessione di componenti elementari. Le attività sono svolte in parallelo dai diversi componenti. 32 X

9 ssegnamento dei segnali ssegnamenti posizionali SIGNL z_bus : bit_vector (3 downto 0); SIGNL a, b, c, d: bit; z_bus <= (a,b,c,d); ssegnamenti nominali z_bus <= (1=>b,0=>a,3=>d,2=>c); z_bus <= (3 downto 2 => 1, OTHERS => 0 ); ssegnamenti condizionali signal_name <= espression_1 when condition_1 else espression_2 when condition_2 else espression_n; with expression select signal_name <= espression_1 when choice_1, espression_1 when choice_n; ssegnamento dei segnali -2 Esempio di buffer tri_state_8: entity tri_state_8 is port(bus_in: in std_logic_vector(0 to 7); en: in std_logic; tri_bus: out std_logic_vector(0 to 7)); end tri_state_8; --prima implementazione architecture d_flow_1 of tri_state_8 is tri_bus <= bus_in WHEN en = 1 ELSE (OTHERS => ); end d_flow_1; --seconda implementazione architecture d_flow_2 of tri_state_8 is with en select tri_bus <= bus_in WHEN 1, when others; end d_flow_2; Esempi VHL dataflow Esempio_1: Scrivere il modello VHL dataflow del componente MUX2 precedentemente descritto. VHL omportamentale escrive un architettura con uno stile simile a quello dei comuni linguaggi di programmazione Le istruzioni VHL poste all interno di un processo sono eseguite sequenzialmente Processo Esempio_2: Scrivere il modello VHL dataflow del componente MUX4 precedentemente descritto. Esempio_3: Scrivere il modello VHL dataflow del componente MUX4 precedentemente descritto. 35 Un processo è considerato una unica operazione concorrente. Il concetto di concorrenza si basa su processi multipli interagenti tra loro I segnali presenti in un processo sono tutti aggiornati alla fine dell esecuzione del processo 36

10 Process [label:] process [(sensitivity_list_of_inputs)] [declaration] sequenial_statements end process [label]; La sensitivity list è la lista dei segnali il cui cambiamento causa l attivazione del processo Le variabilio possono essere dichiarate solo tra l istruzione PROESS ed il suo EGIN (parte dichiarativa del processo) WIT: wait for time; - viene sospesa l esecuzione del processo per un determinato tempo (usato nei test bench) wait on signal_list; - viene sospesa l esecuzione del processo fino al cambiamento di uno dei segnali presenti nella signal_list wait until condition; - viene sospesa l esecuzione del processo fino al verificarsi della condizione 37 Process -2 Esempio: --process con sensitivity list ff_d: process (clk) if clk= 1 then d <= q; end process ff_d; --process con wait ff_d: process wait until clk event and clk= 1 ; d <= q; end process ff_d; La sensitivity list del process ed il wait non possono essere presenti entrambi. 38 Un processo basato sulla sensitivity list si arresta solo dopo aver eseguito la sua ultima istruzione Un processo con wait si arresta al raggiungimento del successivo wait. ichiarazioni di variabili variable names: type [:= expression]; variable names: array_type(index_constraint)[:= expression] Esempi: variable cont: integer := 8; varaible data: std_ulogic; variable bus_in: bit_vector (0 to 7) := ; varaible data_in: std_ulogic_vector (15 downto 0); Gli assegnamenti a variabili sono istruzioni sequenziali e possono esistere solo all interno di descrizioni VHL sequenziali (process). Un assegnamento a variabile ha effetto immediato a differenza di quello a segnale che può dipendere da un delay. ex_1: process (clk) variable a,b: integer:=0; a := a+1; b := a+b; end process ex_1; signal a,b: integer:=0; ex_2: process (clk) a <= a+1; b <= a+b; end process ex_2; Processi Multipli oncorrenti e Istruzioni oncorrenti ll interno di un architettura possono essere presenti processi multipli concorrenti e istruzioni concorrenti: ad esempio architecture EX_conc of ENTITY is -- concurrent statements P1: process (sensitivity list of signals) -- sequential statements; end process P1; -- concurrent statements P2: process (sensitivity list of signals) -- sequential statements P1 P2 end process P2; -- concurrent statements signals end EX_conc; signals signals I due processi sono apparentemente uguali ma non producono lo stesso risultato

11 ostrutti di ontrollo del odice Sequenziale ontrollo ondizionato: Istruzioni IF Istruzione SE ontrollo Iterativo: Istruzione LOOP - FOR - WHILE Istruzione IF onsente il controllo condizionato di gruppi di istruzioni sequenziali basato sulla valutazione di valori booleani. L istruzione IF verifica una condizione ed esegue diverse istruzioni in base al risultato. In presenza di IF annidati viene eseguita la serie di istruzioni sequenziali corrispondenti alla prima condizione che risulti vera Importante l ordine di scrittura delle condizioni PRIORITÀ Più di una condizione può risultare vera viene eseguita la prima condizione che risulta vera. Formato: if condition then sequential statements; [elsif condition2 then sequential_statements;] [else sequential statements;] Esempio IF Esempio: counter: process (clk, reset) if reset= 1 then count<=0; elseif (clk event and clk= 1 ) then if count=9 then count<=0; else count<=count+1; end process counter; Istruzione SE onsente il controllo dell esecuzione di istruzioni sequenziali basato sulla valutazione del valore assunto da un oggetto. Formato: case expression is when choice_1 => seq_statements; when choice_n => seq_statements; end case; Non devono esistere sovrapposizioni tra i vari casi e tutti i possibili casi devono essere inclusi o esplicitamente oppure attraverso la clausola when others Esempio: case intval is when 0 => z <= a; when 1 to 3 => z <= b; when => z <= c; when others => z <= X ; end case; Errore! 43 44

12 Istruzione FOR\WHILE LOOP onsente l esecuzione ripetuta di una sequenza di istruzioni. Formato: [Label:] for index in range loop sequential statements end loop [Label]; [Label:] while [condition] loop sequential statements end loop [Label]; Esempi: for I in 1 to 10 loop ISQURE(I) := I * I; end loop; while (Y = WEEKY) loop Y := GETNEXTY(Y); end loop;! Index è automaticamente dichiarato come un integer e non può essere modificato nel loop Esempi di Istruzione FOR-LOOP Esempio: entity EX is port ( : in std_ulogic_vector (0 to 15); SEL : in integer range 0 to 15; : out std_ulogic); end EX; architecture FOR_EX of EX is WHT: process (, SEL) for I in 0 to 15 loop if SEL = I then <= (I); end loop; end process WHT; end FOR_EX; Esempio di Istruzione FOR-LOOP Esempio: entity PR_GEN is port ( : in std_ulogic_vector (3 downto 0); PR: out std_ulogic); end PR_GEN; architecture R of PR_GEN is P1: process () variable TMP: std_ulogic; TMP := 0 ; for I in low to high loop TMP := TMP xor (I); end loop; PR <= TMP; end process P1; end R; Esempi VHL comportamentale Esempio_1: Scrivere il modello VHL comportamentale del componente MUX2 precedentemente descritto. Esempio_2: Scrivere il modello VHL comportamentale del componente MUX4 precedentemente descritto. Esempio_3: Scrivere il modello VHL comportamentale del componente MUX4 precedentemente descritto

13 Processo ombinatorio odice Sorgente di un Registro con Reset sincrono process (sensitivity_list_of_inputs) -- default assignments; -- combinatorial logic assignments; end process; INPUTS Esempio MUX: OUTPUTS process (,, SEL) if (SEL = '1 ) then <= ; else <= ; end process MUX; SEL entity FLOP is port (, LK, RST : in std_ulogic; Q : out std_ulogic); end FLOP; architecture of FLOP is process (LK, RST) if (RST = '1 ) then Q <= 0; elsif (LK'event and LK='1') then Q <= ; end process; end ; RST LK Q Latch Trasparente (Level Sensitive) escrizione RTL: Processo con lock (2) entity LTH is Port ( EN, : in std_ulogic; Q: out std_ulogic); end LTH; architecture of LTH is process (EN, ) if (EN = 1 ) then Q <= ; end process; end ; EN Q process -- clocked process with no reset wait until (LK event and LK = 1 ); Q <= and ; -- all combinatorial logic assignments here; end process; process (LK) --clocked process with no reset if (LK event and LK = 1 ) then Q <= and ; -- all combinatorial logic assignments here; end process; Q LK 51 52

14 escrizione RTL: Processo con lock e Reset sincrono escrizione RTL: Esempio di Processo con lock (1) process (LK, RST) clocked process with asynch. reset if (RST = 1 ) then Q <= 0; elsif (LK event and LK = 1 ) then Q <= and ; -- all combinatorial logic assignments here; -- no else clause end process; process wait until (LK event and LK = 1 ); X <= + ; Y <= + ; <= X + Y; end process; RST Q LK X Y LK LK 53 54

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Reti Logiche A. Introduzione al VHDL

Reti Logiche A. Introduzione al VHDL Reti Logiche Introduzione al VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it 1 Sommario Introduzione Struttura di un modello

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Note su VHDL. 22 gennaio 2004

Note su VHDL. 22 gennaio 2004 Note su VHDL 22 gennaio 2004 Design Entity L unità di base di un modello VHDL consiste nella Design Entity, che può rappresentare un intero sistema, un circuito stampato, un circuito integrato oppure una

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr;

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; Modello sequenziale: i Process Modello sequenziale ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; ARCHITECTURE seq OF ffsr IS ( r PROCESS(s, IF s = 1 AND r = 0 THEN q

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

Christian Pilato

Christian Pilato Politecnico di Milano Introduzione al VHDL Christian Pilato pilato@elet.polimi.it Sommario Introduzione Struttura di un modello Interfaccia Funzionalità Concetti base Livelli di astrazione Concorrenza

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

Architetture e reti logiche. Esercitazioni VHDL. a.a. 2005/06. Uso del VHDL. Stefano Ferrari

Architetture e reti logiche. Esercitazioni VHDL. a.a. 2005/06. Uso del VHDL. Stefano Ferrari Architetture e reti logiche Esercitazioni VHDL a.a. 2005/06 Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di Milano

Dettagli

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 VHDL Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di

Dettagli

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07 UsodelVHDL Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Architettureeretilogiche VHDL UsodelVHDL

Dettagli

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali

Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Introduzione al Flusso di Progetto di Circuiti e Sistemi Digitali Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy)

Dettagli

Sequential Processing

Sequential Processing Sequential Processing Istruzioni sequenziali: comandi eseguiti in maniera seriale, uno dopo l'altro (comune ai linguaggi di programmazione) TUTTE le istruzioni in una architecturesono concorrenti (vengono

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 Progettazione di circuiti integrati Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Introduzione alla sintesi comportamentale

Introduzione alla sintesi comportamentale Introduzione alla sintesi comportamentale Valentino Liberali Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Via Bramante 65, 26013 Crema, Italy Tel.: +39-0373.898.247; Fax:

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) 18 settembre 2003 Prova del 9 giugno 2003 Descrizione VHDL (a scelta, dataflow o comportamentale) di un decoder per il

Dettagli

VHDL - Introduzione. //

VHDL - Introduzione. // VHDL - Introduzione VHDL = VHSIC Hardware Description Language VHSIC = Very High Speed Integrated Circuits Scopo presentazione: introdurre un sottoinsieme del sottoinsieme del linguaggio VHDL utilizzabile

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

Flusso di progetto circuiti digitali

Flusso di progetto circuiti digitali Flusso di progetto circuiti digitali (anni 80/90) 1) Definizione Algoritmica : y=-x; 2) Schematic Entry 3) Custom Layout (place & route) 4) Parasitic extraction & Backannotation Il Design Productivity

Dettagli

VHDL come strumento di progetto di circuiti digitali

VHDL come strumento di progetto di circuiti digitali VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Progetto di sistemi elettronici L-A AA 2005-2006 1 adeledda@deis.unibo.it Tel. Interno 0512093829 Centro ARCES, Viale Pepoli

Dettagli

Introduzione al VHDL Lezione 2

Introduzione al VHDL Lezione 2 Introduzione al VHDL Lezione 2 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A Technology Dependance Elettronica dei Sistemi Digitali L-A Università di Bologna, sede di Cesena Introduzione al linguaggio VHDL F.Campi A.a. 2004-2005 Design Iteration Semicustom Design Flow Pre-Layout

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a 1 Terza esercitazione Progetto di una rete di controllo Obiettivi Progetto e analisi di macchine a stati finiti 2 Macchina a Stati Finiti (FSM) Z(n)=f(x(n)) => Sistema Combinatorio Z(n)=f(x(n),x(n-1),x(n-2),..)

Dettagli

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi

IL VHDL. Perché si usa un linguaggio di descrizione dell'hardware? Permette di formalizzare il progetto di sistemi digitali complessi IL VHDL Cosa è il VHDL? NON è un linguaggio di programmazione! E' uno standard IEEE per la descrizione dell'hardware VHDL: VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuit

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Elettronica per le telecomunicazioni 21/05/2004

Elettronica per le telecomunicazioni 21/05/2004 Contenuto dell unità D Elettronica per telecomunicazioni Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

$ % 16 dicembre Giovanni Beltrame 2

$ % 16 dicembre Giovanni Beltrame 2 ! "# $ % Giovanni Beltrame 2 & VHDL è un linguaggio di descrizione dell hardware (Hardware Description Language) Per hardware si intendono diverse cose a seconda del contesto L hw si può descrivere a vari

Dettagli

Corso di Reti Logiche A

Corso di Reti Logiche A Politecnico di Milano Reti Logiche A Corso di Reti Logiche A Introduzione al VHDL - Una prima presentazione - VHSIC-HDL HDL Very High Speed Integrated Circuit - Hardware Description Language Marco D. Santambrogio:

Dettagli

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni Introduzione Il VHDL e costituito da vari formati (types)ed operatori (operators) per consentire simulazione e sintesi a vari livelli Nel package STANDARD si trovano descritti quegli oggetti destinati

Dettagli

Progettazione di circuiti integrati

Progettazione di circuiti integrati Architetture e reti logiche Esercitazioni VHDL a.a. 2007/08 Progettazione di circuiti integrati Stefano Ferrari UNIVERSITÀ DEGLI STUDI DI MILANO DIPARTIMENTO DI TECNOLOGIE DELL INFORMAZIONE Stefano Ferrari

Dettagli

Descrizione VHDL di componenti combinatori

Descrizione VHDL di componenti combinatori Descrizione VHDL di componenti combinatori 5 giugno 2003 1 Decoder Il decoder è un componente dotato di N ingressi e 2 N uscite. Le uscite sono poste tutte a 0 tranne quella corrispondente al numero binario

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Note di VHDL STEFANO FERRARI Architetture e reti logiche Note di VHDL Pagina 2 di 56 Indice 1. Introduzione........................................

Dettagli

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language Introduzione al VHDL Corso introduttivo al VHDL Giovanni De Luca VHDL VHDL è l acronimo di VHSIC Hardware Description Language VHSIC è l acronimo di Very High Speed Integrated Circuit La storia Il VHDL

Dettagli

VHDL come strumento di progetto di circuiti digitali. Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA

VHDL come strumento di progetto di circuiti digitali. Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA VHDL come strumento di progetto di circuiti digitali Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 fcampi@deis.unibo.it Tel. Interno 93834 Centro ARCES, Viale Pepoli 3/2 http://www.micro.deis.unibo.it/cgi

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Reti Logiche A. FSM in VHDL

Reti Logiche A. FSM in VHDL Reti Logiche A FSM in VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE

Dettagli

ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL. Torino, 16/05/2005

ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL. Torino, 16/05/2005 Mauro Caule mat. 118381 Fabrizio Castellano mat. 122390 Luigi Fasano mat. 120730 ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL Torino, 16/05/2005 ENTITY sipo IS data_in:

Dettagli

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard)

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard) Tipi di segnali (logici) predefiniti Tipo bit (definito nel package standard) Rappresentazione dei segnali in VHDL Approfondimento del corso di Linguaggi di descrizione dell hardware type Bit is ('0',

Dettagli

VHDL: Simulazione & Sintesi

VHDL: Simulazione & Sintesi VHDL: Simulazione & Sintesi Politecnico di Milano 18 Dicembre 2012 M. CARMINATI mcarminati@elet.polimi.it SIMULAZIONE VHDL: Simulazione & Sintesi - M. Carminati Politecnico di Milano 2 TESTBENCH Dopo aver

Dettagli

VHDL. Il linguaggio FOCUS

VHDL. Il linguaggio FOCUS ON Il linguaggio VHDL di Antonio Di Stefano Il linguaggio VHDL consente di descrivere ed implementare circuiti complessi utilizzando tecnologie quali FPGA, CPLD, ASIC e structured ASIC. In questo articolo

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1 Reti combinatorie Reti sequenziali Sommatore Sottrattore Generatore di sequenze Riconoscitore di sequenze PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà eseguire, in un

Dettagli

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena

ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena ELETTRONICA dei SISTEMI DIGITALI Universita di Bologna, sede di Cesena Fabio Campi Aa 2003-2004 Elettronica dei Sistemi Digitali Fabio Campi, fcampi@deis.unibo.it (con parsimonia ) 051/2093834 http://www.micro.deis.unibo.it/~campi/esd_2004

Dettagli

MPHS AA FSM in VHDL

MPHS AA FSM in VHDL MPHS AA 28-29 FSM in VHDL Cristina Silvano Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: silvano@elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE LOGIC RST

Dettagli

Descrizioni VHDL Behavioral

Descrizioni VHDL Behavioral 1 Descrizioni VHDL Behavioral In questo capitolo vedremo come la struttura di un sistema digitale è descritto in VHDL utilizzando descrizioni di tipo comportamentale. Outline: process wait statements,

Dettagli

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano 1 Aritmetica frazionaria e fixed point Nella maggior parte delle applicazioni i numeri reali sono approssimati con numeri binari che ne rappresentano la parte intera e quella frazionaria. Il numero di

Dettagli

Riassunto tecnica digitale

Riassunto tecnica digitale Introduzione... 2 Operazioni... 4 OR...4 AND...4 XOR...5 Operatori logici... 5 Negazione... 6 Ottimizzare mediante il teorema di De Morgan. 7 VHDL...8 Contatori...10 Multiplexer... 11 Demultiplexer...12

Dettagli

Logica sequenziale: implementazione verilog

Logica sequenziale: implementazione verilog Logica sequenziale: implementazione verilog Lucidi del Corso di Elettronica igitale Modulo 11 Università di Cagliari ipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Dettagli

Fondamenti di Informatica B

Fondamenti di Informatica B Fondamenti di Informatica B Lezione n. 8 Alberto Broggi Gianni Conte A.A. 2005-2006 Fondamenti di Informatica B DESCRIZIONE LIVELLO REGISTRO REGISTER TRANSFER LEVEL (RTL) I MODULI BASE RTL STRUTTURE DI

Dettagli

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS Il VHDL 3 parte Tipi Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS 1 Definizione di un nuovo tipo TYPE Nome_tipo IS Definizione_tipo;

Dettagli

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.

---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned. ---- registro con reset e enable use IEEE.std_logic_unsigned.all; --Registro con reset sincrono e enable entity REGISTRO is port (CLK, RESET, ENABLE D Q : out std_logic end REGISTRO; architecture behavioral

Dettagli

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

Latch in verilog. Logica sequenziale: implementazione verilog. Latch SR verilog: strutturale. Latch SR verilog

Latch in verilog. Logica sequenziale: implementazione verilog. Latch SR verilog: strutturale. Latch SR verilog Latch in verilog Logica sequenziale: implementazione verilog Lucidi del Corso di Elettronica igitale Modulo 11 Università di Cagliari ipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Computer Aided Design. Matteo Montani

Computer Aided Design. Matteo Montani Computer Aided Design Matteo Montani Sommario Gestione di progetti logici complessi Metodologie di progetto (sintesi - verifica) Strategie di implementazione di circuiti digitali - Full custom (layout)

Dettagli

Linguaggi e ambienti CAD per la sintesi logica di sistemi digitali

Linguaggi e ambienti CAD per la sintesi logica di sistemi digitali Alma Mater Studiorum Università degli Studi di Bologna Facoltà di Ingegneria Corso di Laurea Specialistica in Ingegneria Informatica Tesi di Laurea Specialistica in Sistemi Digitali Linguaggi e ambienti

Dettagli

Reti Logiche A Esame del 13 settembre 2007

Reti Logiche A Esame del 13 settembre 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 13 settembre 2007 prof.ssa Cristiana Bolchini Esercizio n. 1 Effettuare

Dettagli

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Reti Logiche A - Prova di mercoledì 16 febbraio 2005 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Esercizio n. 1 Data la seguente espressione logica: ((c+a ) +c+a

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL Introduzione al linguaggio VHDL Il VHDL è un linguaggio per la sintesi e la simulazione di circuiti digitali, uno standard per la descrizione dell hardware E stato introdotto negli anni 80 nell ambito

Dettagli

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la 1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la documentazione, la simulazione e la sintesi di sistemi digitali.

Dettagli

3.5 Esercizi con strumenti automatici

3.5 Esercizi con strumenti automatici Algebra di commutazione 75 3.5 Esercizi con strumenti automatici Per esemplificare i concetti descritti in questo capitolo si consideri l esempio di un circuito che esegue la somma di numeri interi in

Dettagli

Logica sequenziale: implementazione verilog

Logica sequenziale: implementazione verilog Logica sequenziale: implementazione verilog Lucidi del Corso di Elettronica Digitale Modulo 10 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Dettagli

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni Progetto di sistemi elettronici LA - esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni copie dei lucidi presentati a lezione breve guida all utilizzo di QUARTUS codici VHDL sommatore a 4

Dettagli

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30 Sistemi per la Progettazione Automatica Informatica - Tiziano Villa 17 Marzo 2008 Nome e Cognome: Matricola: Posta elettronica: problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3

Dettagli

Reti Logiche A II Prova - 11 febbraio 2008

Reti Logiche A II Prova - 11 febbraio 2008 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - febbraio 008 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

HSA HSA HARDWARE SYSTEM ARCHITECTURE. Livelli. Livello assemblativo. Livello di. Sistema Operativo. Livello di. linguaggio macchina.

HSA HSA HARDWARE SYSTEM ARCHITECTURE. Livelli. Livello assemblativo. Livello di. Sistema Operativo. Livello di. linguaggio macchina. HS HRDWRE SYSTEM RHITETURE a.a. 22-3 L. orrelli 1 Livelli I 4: MOV L,TOTLE XOR X,X XOR X,X MOV L,STRING[X] IN X LOOP I 4 Livello assemblativo Livello di Sistema Operativo 11111 1111 11 111 111 111 Livello

Dettagli

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna Logica binaria Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 Rappresentazione dell'informazione I calcolatori

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli

Registro a 4 bit P 1 D P 1 D C 0

Registro a 4 bit P 1 D P 1 D C 0 ESERCITAZIONE 7 Sommario Linguaggio di descrizione a livello RT rogetto a livello RT. Livello a trasferimento tra registri (segue).. Registri Gli elementi di memoria a livello RT sono i registri. I registri

Dettagli

UML Introduzione a UML Linguaggio di Modellazione Unificato. Corso di Ingegneria del Software Anno Accademico 2012/13

UML Introduzione a UML Linguaggio di Modellazione Unificato. Corso di Ingegneria del Software Anno Accademico 2012/13 UML Introduzione a UML Linguaggio di Modellazione Unificato Corso di Ingegneria del Software Anno Accademico 2012/13 1 Che cosa è UML? UML (Unified Modeling Language) è un linguaggio grafico per: specificare

Dettagli

Logica sequenziale: implementazione verilog

Logica sequenziale: implementazione verilog Logica sequenziale: implementazione verilog Lucidi del Corso di Elettronica Digitale Modulo 10 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Dettagli

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2 Calcolatori Elettronici M Modulo 2 02 - Linguaggio VHDL Parte 1/2 1 Caratteristiche dei linguaggi HDL Gli HDL, essendo linguaggio di programmazione finalizzati a modellare l HARDWARE, presentano delle

Dettagli

Compito di linguaggi di descrizione dell hardware

Compito di linguaggi di descrizione dell hardware Compito di linuai di descrizione dell hardware Esercizio 1 Si realizzi un modello comportamentale in VHDL di un lath di tipo D trasparente (con inressi d e clk, ed uscita q). Quando clk = 1, il latch é

Dettagli

Logica combinatoria in linguaggio Verilog

Logica combinatoria in linguaggio Verilog Logica combinatoria in linguaggio Verilog Lucidi del Corso di Elettronica Digitale Modulo 7 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Dettagli

Si progetti, utilizzando il linguaggio VHDL, una rete

Si progetti, utilizzando il linguaggio VHDL, una rete Si progetti, utilizzando il linguaggio VHDL, una rete logica in grado di realizzare il controllore di un distributore automatico di bevande. L interfaccia di I/O della rete è la seguente: ESERCIZIO entity

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof.

Flusso di Progetto Mixed Signal in ambiente CADENCE. Approccio Analog Centric. Corso di Progettazione Mixed Signal 19/12/2013 Prof. Flusso di Progetto Mixed Signal in ambiente CADENCE Approccio Analog Centric Ambiente per Progetto Analogico Full-Custom Ambiente CAD: CADENCE Virtuoso Schematic Virtuoso Schematic Editor Simulation ADE:

Dettagli

Reti Logiche A Prova di giovedì 3 febbraio 2005

Reti Logiche A Prova di giovedì 3 febbraio 2005 olitecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A rova di giovedì febbraio 005 Matricola Cognome Nome

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Descrizione di macchine a stati tramite VHDL

Descrizione di macchine a stati tramite VHDL Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara (ENDIF) FSMs VHDL Ling. di descr. dell hardware 1 / 35 Motivazioni Introdurre la descrizione di FSM in VHDL Introdurre

Dettagli

Componenti notevoli combinatori

Componenti notevoli combinatori Corso di Laurea in Informatica Componenti notevoli combinatori Architettura dei Calcolatori Prof. Andrea Marongiu andrea.marongiu@unimore.it Anno accademico 2018/19 Demultiplexer / Decoder (1/2) Il demultiplexer

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

Lezione E15. Sistemi embedded e real-time

Lezione E15. Sistemi embedded e real-time Lezione E15 Logiche Sistemi embedded e real-time 24 gennaio 2013 Dipartimento di Ingegneria Civile e Ingegneria Informatica Università degli Studi di Roma Tor Vergata SERT 13 E15.1 Di cosa parliamo in

Dettagli

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili.

Dispositivi logici programmabili. Marco Cesati. Schema della lezione. Logiche programmabili. Dispositivi logici programmabili. Di cosa parliamo in questa lezione? Lezione E5 Logiche In questa lezione si descrivono i dispositivi logici Logiche Sistemi embedded e real-time 2 Struttura degli 24 gennaio 23 3 Dipartimento di Ingegneria

Dettagli

Latch in verilog. Logica sequenziale: implementazione verilog. Latch SR verilog: strutturale. Latch SR verilog

Latch in verilog. Logica sequenziale: implementazione verilog. Latch SR verilog: strutturale. Latch SR verilog Latch in verilog Logica sequenziale: implementazione verilog Lucidi del Corso di Elettronica igitale Modulo 10 Università di Cagliari ipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica

Dettagli