Reti Logiche A Prova di giovedì 3 febbraio 2005

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Reti Logiche A Prova di giovedì 3 febbraio 2005"

Transcript

1 olitecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A rova di giovedì febbraio 005 Matricola Cognome Nome Istruzioni Scrivere solo sui fogli distribuiti. Non separare questi fogli. È vietato portare all esame libri, eserciziari, appunti e calcolatrici. Chiunque venga trovato in possesso di documentazione relativa al corso anche se non strettamente attinente alle domande proposte vedrà annullata la propria prova. Non è possibile lasciare l aula conservando il tema della prova in corso. Tempo a disposizione: h:5m. alore indicativo di domande ed esercizi, voti parziali e voto finale: Esercizio ( punti) Esercizio (.5 punti) Esercizio (.5 punti) Esercizio 4 ( punti) Esercizio 5 ( punti) oto finale: (6 punti) Con Soluzioni

2 Esercizio n. Data la seguente tabella degli stati: I) si esegua l'analisi di compatibilità II) Trovare le classi di massima compatibilità tramite l algoritmo che costruisce l albero delle compatibilità III) Trovare una macchina ridotta utilizzando un algoritmo tra quanti noti, derivando la nuova tabella degli stati e il relativo diagramma degli stati IN 0 IN A A / 0 B / B B / 0 - / - C C / - D / D E / H / 0 E F / - / 0 F F / - - / G G / 0 B / H A / B / - Soluzione: B C BD D X X X E X X X EF F X X G DB X X H X X CA AE FA FA X DB BH A B C D E F G B C X D X X X E X X X X F X X G X X X H X X X X FA FA X A B C D E F G Classi di massima compatibilità: ABGF, CBF, FH, EH, D Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina di 0

3 Esercizio n. Realizzare un circuito sequenziale sincrono con due ingressi (a e b) ed una uscita (z), il cui comportamento è il seguente: quando si riceve in ingresso a b l'uscita z viene posta a 0 successivamente se a b allora z, se a b allora z 0 fino a che non si riceve a b 0 quando si riceve in ingresso a b 0 l'uscita viene posta a 0 successivamente l'uscita commuta ad ogni ciclo di clock fino a quando non si riceve a b che comunque porta l uscita a 0. Si utilizzi come modello di riferimento quello della macchina di Moore, si utilizzino flip-flop di tipo JK e si adotti un assegnamento dello stato basato sulla codifica binaria naturale sul numero minimo di bit. Soluzione: Tabella degli stati: z A B B C B 0 B A A C A C A D C D 0 D A D C D Codifica: A 00 B 0 C D 0 Tabella degli stati codificati: z Tabella delle eccitazioni JK Q Q J K Tabella z Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina di 0

4 Sintesi della funzione d uscita: z q0 q Mappe di Karnaugh della funzione stato prossimo: J0 K J K J0 ab K0!a!b J!q0 + ab K!a +!b a b J 0 K z J K Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina 4 di 0

5 Esercizio n. Data la seguente tabella degli stati minima di una macchina di Mealy: S S/ S/ S5/0 S/ S S/ S4/0 S/0 S/0 S S/ S4/0 S4/0 S4/0 S4 S/0 S5/0 S5/0 S/0 S5 S/ S5/0 S5/0 S/0 Si determini un buon assegnamento degli stati secondo i criteri comunemente adottate. Soluzione: er il I criterio (coppie di stati che condividono lo stesso stato prossimo per lo stesso ingresso) si ha: SS condividono S SS4 condividono S SS4 condividono S SS5 condividono S SS condividono S4 S4S5 condividono S5 SS4 condividono S5 SS5 condividono S5 S4S5 condividono S5 S4S5 condividono S er il II criterio (coppie di stati prossimi con ingresso adiacente) si ha: stato presente S SS5 S5S SS stato presente S SS4 S4S SS stato presente S SS4 S4S stato presente S4 SS5 S5S stato presente S5 SS5 S5S Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina 5 di 0

6 SS La tabella dei vincoli pesati è la seguente: SS SS4 SS4 SS5 SS S4S5 SS5 5 S5S S4S SS Il grafo delle adiacenze è il seguente S 5 S S5 S S4 I vincoli non possono essere tutti soddisfatti e vengono tagliati gli archi AB, BC e EF. L assegnamento è quindi S S S5 S S4 Reti Logiche A rova di giovedì febbraio 00 Esercizio n. -- pagina 6 di 0

7 Esercizio n. 4 Sia data una macchina sequenziale sincrona con ingressi (a, b, c, d, e) e uscita (Y), la cui rete combinatoria che realizza le funzioni λ (uscita) e δ (stato prossimo) è rappresentata dalla seguente rete multilivello + ab QQ D + d D cdq D e + D D Q + Q D d + Q Y Q Y Dove: Q, Q e Q rappresentano le variabili di stato presente L espressione associata a Y costituisce la funzione λ Le espressioni associate a D, D e D costituiscono la funzione δ, e sono nodi intermedi I) Dire se la macchina descritta è di Mealy o di Moore, giustificando la risposta; II) III) Realizzare la rete combinatoria tramite LA. Si indichino esplicitamente i termini prodotto del piano AND e le espressioni relative al piano OR, si disegni anche lo schema logico delle interconnessioni da programmare. Si supponga di voler realizzare la stessa macchina tramite una ROM: a) Si dica quale è il numero di ingressi (bit indirizzo) e il numero di uscite (bit di parola) della ROM necessari per realizzare la macchina b) Si dica quale è il procedimento, partendo dalle espressioni logiche date, per ottenere la realizzazione tramite ROM Soluzione: La macchina è una macchina di Mealy poiché l uscita dipende, oltre che dallo stato presente, anche dagli ingressi. Termini prodotto e sezione OR da realizzare (l asterisco indica le funzioni OR che vanno retroazionate. er D, D e D il segnale portato in retroazione è l uscita Q del bistabile D corrispondente all ingresso omonimo) Sezione AND Reti Logiche A rova di giovedì febbraio 00 Esercizio n pagina 7 di 0

8 Reti Logiche A rova di giovedì febbraio 00 Esercizio n pagina 8 di Q Q e Q d cdq Q Q ab Sezione OR Y D D D

9 Esercizio n. 5 Data la seguente descrizione di circuito in HDL library ieee; use ieee.std_logic_64.all; ENTITY vhdl_ex IS ORT(clk: in bit; c: in bit; d: in bit; o: out bit); END; ARCHITECTURE arc OF vhdl_ex IS SIGNAL s0,s: bit; BEGIN 0: ROCESS(clk) BEGIN IF (clk'eent AND clk '') THEN s0<d; END IF; END ROCESS; o < s WHEN (c'') ELSE s0; : ROCESS(clk) BEGIN IF (clk'eent AND clk '') THEN s<s0; END IF; END ROCESS; END arc; I) Indicare se il circuito descritto è un circuito combinatorio o sequenziale con le opportune motivazioni. II) Disegnare un circuito composto da componenti elementari (porte logiche, multiplexer, bistabili) che implementi il modello HDL proposto III) Ricavare le forme d onda del segnale o in corrispondenza dei segnali di ingresso di seguito riportati: clk d c o Soluzione: I) Indicare se il circuito descritto è un circuito combinatorio o sequenziale con le opportune motivazioni. Il circuito è sequenziale perché contiene due processi che descrivono due flip flop d II) Disegnare un circuito composto da componenti elementari (porte logiche, multiplexer, bistabili) che implementi il modello HDL proposto Reti Logiche A rova di giovedì febbraio 00 Esercizio n pagina 9 di 0

10 III) Ricavare le forme d'onda del segnale o in corrispondenza dei segnali di ingresso di seguito riportati: Reti Logiche A rova di giovedì febbraio 00 Esercizio n pagina 0 di 0

Reti Logiche A Esame del 13 settembre 2007

Reti Logiche A Esame del 13 settembre 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 13 settembre 2007 prof.ssa Cristiana Bolchini Esercizio n. 1 Effettuare

Dettagli

Reti Logiche A II Prova - 11 febbraio 2008

Reti Logiche A II Prova - 11 febbraio 2008 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - febbraio 008 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Reti Logiche A - Prova di mercoledì 16 febbraio 2005 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Esercizio n. 1 Data la seguente espressione logica: ((c+a ) +c+a

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

Reti Logiche A Appello del 9 luglio 2009

Reti Logiche A Appello del 9 luglio 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Reti Logiche A Appello del 9 luglio 2009 Matricola Cognome Nome

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

Reti Logiche A II Prova - 1 febbraio 2010

Reti Logiche A II Prova - 1 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 1 febbraio 2010 prof.ssa Cristiana Bolchini Esercizio n. 1 Data la

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Reti Logiche A Esame del 14 febbraio 2006

Reti Logiche A Esame del 14 febbraio 2006 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Esercizio n. Eseguire la generazione degli implicanti primi con il metodo di Quine McCluskey

Dettagli

Reti Logiche A Prova di mercoledì 12 novembre 2003

Reti Logiche A Prova di mercoledì 12 novembre 2003 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Prova di mercoledì 12 novembre 2003 Matricola Cognome Nome Istruzioni Scrivere

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone

Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone Il problema dell assegnamento degli stati versione del 9/1/03 Sintesi: Assegnamento degli stati La riduzione del numero

Dettagli

Reti Logiche A - Prova di mercoledì 17 novembre 2004

Reti Logiche A - Prova di mercoledì 17 novembre 2004 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A - Prova di mercoledì 7 novembre 2004 Matricola Cognome

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Una macchina sequenziale è definita dalla quintupla I è l insieme finito dei simboli d ingresso U è l insieme finito dei simboli

Dettagli

Prova d esame di Reti Logiche T 13 Luglio 2016

Prova d esame di Reti Logiche T 13 Luglio 2016 Prova d esame di Reti Logiche T 13 Luglio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 Prof. Rosario Cerbone rosario.cerbone@libero.it a.a. 2005-2006 http://digilander.libero.it/rosario.cerbone Sintesi di Reti Sequenziali Sincrone In

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

COGNOME: NOME: MATRICOLA:

COGNOME: NOME: MATRICOLA: Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/2002-18 febbraio 2004 - RECUPERO 1 PARTE COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone alcolatori ElettroniciIngegneria Telematica Sintesi di Reti Sequenziali Sincrone na macchina sequenziale è definita dalla quintupla δ, λ) dove: I è l insieme finito

Dettagli

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/ novembre 2003

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/ novembre 2003 Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/2004-19 novembre 2003 COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere solo sui fogli

Dettagli

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA.

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA. a Esercizio 1. Sintetizzare un circuito sequenziale sincrono in base alle specifiche temporali riportate nel seguito. Il circuito riceve in input solo il segnale di temporizzazione (CK) e produce tre uscite,

Dettagli

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali Tutorato di Calcolatori

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Esercizi sulle Reti Sequenziali Sincronizzate

Esercizi sulle Reti Sequenziali Sincronizzate Esercizi sulle Reti Sequenziali Sincronizzate Corso di Laurea di Ing. Gestionale e di Ing. delle Telecomunicazioni A.A. 27-28 1. Disegnare il grafo di stato di una RSS di Moore avente tre ingressi A, B,

Dettagli

COGNOME: NOME: MATRICOLA:

COGNOME: NOME: MATRICOLA: Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2004/2005-18 febbraio 2005 - RECUPERO 1 PARTE COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere

Dettagli

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Tutorato di Ing. Roberto Casula Ing. Rita Delussu casula.roberto103@hotmail.it rita.delussu2016@gmail.com Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Progettare un riconoscitore

Dettagli

ACSO Architettura dei Calcolatori e Sistemi Operativi

ACSO Architettura dei Calcolatori e Sistemi Operativi Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Luca Breveglieri prof. Giuseppe Pelagatti prof.ssa Donatella Sciuto prof.ssa Cristina Silvano ACSO Architettura

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

ESERCIZIO 1 Si consideri la seguente funzione f (A, B, C, D) non completamente specificata definita attraverso il suo ON-SET e DC-SET:

ESERCIZIO 1 Si consideri la seguente funzione f (A, B, C, D) non completamente specificata definita attraverso il suo ON-SET e DC-SET: Università degli Studi di Milano Corso Architettura degli elaboratori e delle reti Prof. Cristina Silvano A.A. 2004/2005 Esame scritto del 15 luglio 2005 Cognome: Matricola: Nome: Istruzioni Scrivere solo

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ EGLI STUI I PARMA FACOLTÀ I INGEGNERIA Corso di Reti Logiche A anno accademico 2007-2008 prof. Stefano CASELLI prof. William FORNACIARI Appello dell 8 Gennaio 2008 Bozza soluzioni del 07.01.2008

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2007-2008 prof. Stefano CASELLI prof. William FORNACIARI Appello dell 11 Febbraio 2008 Bozza soluzioni del

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2006-2007 prof. Stefano CASELLI prof. William FORNACIARI Prova di recupero del 21 dicembre 2006 ozza soluzioni

Dettagli

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo.

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. NOME e COGNOME (stampatello): Compito A Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. 0 1 S1 S7/01 S2/11 S2 S2/10 S3/11 S3 S0 S2/01 S4 S0 S5/01 S5 S6/10 S4/11 S6 S5/10

Dettagli

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a 1 Terza esercitazione Progetto di una rete di controllo Obiettivi Progetto e analisi di macchine a stati finiti 2 Macchina a Stati Finiti (FSM) Z(n)=f(x(n)) => Sistema Combinatorio Z(n)=f(x(n),x(n-1),x(n-2),..)

Dettagli

Sintesi Sequenziale Sincrona

Sintesi Sequenziale Sincrona Sintesi Sequenziale Sincrona Sintesi comportamentale di reti sequenziali sincrone senza processo di ottimizzazione Sintesi comportamentale e architettura generale Diagramma degli stati Tabella degli stati

Dettagli

Automa a Stati Finiti (ASF)

Automa a Stati Finiti (ASF) Automa a Stati Finiti (ASF) E una prima astrazione di macchina dotata di memoria che esegue algoritmi Introduce il concetto fondamentale di STATO che informalmente può essere definito come una particolare

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequenziali sincrone ESERCIZIO N. Si esegua la sintesi di una rete sequenziale sincrona caratterizzata da un unico segnale di ingresso (X) e da un unico segnale di uscita (Z),

Dettagli

Circuiti sequenziali: macchine a stati finiti

Circuiti sequenziali: macchine a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Circuiti sequenziali: macchine a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di Milano

Dettagli

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere

Introduzione. Sintesi Sequenziale Sincrona. Modello del circuito sequenziale. Progetto e strumenti. Il modello di un circuito sincrono può essere Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

x y z F x y z F

x y z F x y z F Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Sintesi Sequenziale Sincrona

Sintesi Sequenziale Sincrona Sintesi Sequenziale Sincrona Sintesi Comportamentale di reti Sequenziali Sincrone di Macchine Senza Processo di Ottimizzate a Livello Comportamentale Sintesi comportamentale e architettura generale Diagramma

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali Reti Logiche Prof. B. Buttarazzi A.A. 29/2 Reti Sequenziali Sommario Analisi di Reti Sequenziali Sintesi di Reti Sequenziali Esercizi 3/6/2 Corso di Reti Logiche 29/ 2 Analisi di Reti Sequenziali Passare

Dettagli

Riassunto tecnica digitale

Riassunto tecnica digitale Introduzione... 2 Operazioni... 4 OR...4 AND...4 XOR...5 Operatori logici... 5 Negazione... 6 Ottimizzare mediante il teorema di De Morgan. 7 VHDL...8 Contatori...10 Multiplexer... 11 Demultiplexer...12

Dettagli

Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Macchine Sequenziali

Macchine Sequenziali Macchine Sequenziali CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Tassonomia dei circuiti digitali Circuiti combinatori» Il valore

Dettagli

Reti Logiche A. FSM in VHDL

Reti Logiche A. FSM in VHDL Reti Logiche A FSM in VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

Prova d esame di Reti Logiche T 10 Giugno 2016

Prova d esame di Reti Logiche T 10 Giugno 2016 Prova d esame di Reti Logiche T 10 Giugno 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

ESERCIZIO N. 1 - PAGINA 1

ESERCIZIO N. 1 - PAGINA 1 ESERCIZIO N. - PAGINA Si desidera progettare la macchina sequenziale asincrona M che genera il segnale ACK, avendo come ingressi i segnali R ed R2. Si assuma che i segnali R ed R2 non cambino mai contemporaneamente.

Dettagli

Esercizi Risolti RETI LOGICHE T (Modulo 2)

Esercizi Risolti RETI LOGICHE T (Modulo 2) Esercizio 1 Utilizzando l approccio visto nella realizzazione dell adder binario interno alla ALU si esegua il procedimento di sintesi del componente ADDER_5 4 che sommi operandi in base 4 (es 3+3=12;

Dettagli

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa:

COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: COMPITO A Esercizio 1 (13 punti) Dato il seguente automa: 1/0 q8 1/0 q3 q1 1/0 q4 1/0 q7 1/1 q2 1/1 q6 1/1 1/1 q5 - minimizzare l automa usando la tabella triangolare - disegnare l automa minimo - progettare

Dettagli

DOMANDA N. 1 Quale frequenza del clock minimizza il numero di stati della rete sequenziale sincrona?

DOMANDA N. 1 Quale frequenza del clock minimizza il numero di stati della rete sequenziale sincrona? Esercitazione N. 25 Una macchina per la produzione di bevande calde riceve da una rete sequenziale sincrona i segnali binari Z1,Z2,Z3,Z4 ed esegue in corrispondenza una delle seguenti azioni elementari:

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2006-2007 prof. Stefano CASELLI prof. William FORNACIARI I compitino del 16 novembre 2006 Bozza soluzioni del

Dettagli

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 10 Febbraio Attenzione:

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 10 Febbraio Attenzione: Cognome.. Nome.... Architettura degli Elaboratori Classe 3 Prof.ssa Anselmo Appello del 10 Febbraio 2017 Attenzione: Inserire i propri dati nell apposito spazio sottostante e in testa a questa pagina.

Dettagli

MPHS AA FSM in VHDL

MPHS AA FSM in VHDL MPHS AA 28-29 FSM in VHDL Cristina Silvano Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: silvano@elet.polimi.it Macchina a Stati Finiti (FSM) Tipo Moore IN NEXT STATE LOGIC RST

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PRM FCOLTÀ DI INGEGNERI Corso di Reti Logiche anno accademico 5-6 prof. Stefano CSELLI prof. William FORNCIRI II compitino del dicembre 5 Bozza soluzioni del..5 Cognome (LEGGIBILE)...Nome

Dettagli

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS)

Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS) Minimizzazione degli stati di reti sequenziali asincrone (RSA) / sincrone (RSS) Problema: Data una tabella di flusso (TdF) contraddistinta da un numero arbitrario N di stati s 1, s 2,, s N, individuare

Dettagli

Compito A. Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati

Compito A. Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati Compito A Esercizio 1 (13 punti) Minimizzare l automa descritto dalla seguente tabella degli stati stato/input x=0 x=1 A B/0 A/0 B C/0 A/0 C B/0 D/1 D B/0 E/0 E B/0 D/1 Esercizio 2. (17 punti) Realizzare

Dettagli

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 26 Gennaio Attenzione:

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Appello del 26 Gennaio Attenzione: Cognome.. Nome.... Architettura degli Elaboratori Classe 3 Prof.ssa Anselmo Appello del 26 Gennaio 2018 Attenzione: Inserire i propri dati nell apposito spazio sottostante e in testa a questa pagina. Preparare

Dettagli

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione.

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione. Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 13 Novembre 2012 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene

Dettagli

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI

ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI ANALISI E PROGETTO DI CIRCUITI SEQUENZIALI 1 Classificazione dei circuiti logici Un circuito è detto combinatorio se le sue uscite (O i ) sono determinate univocamente dagli ingressi (I i ) In pratica

Dettagli

Esercizi. Logica Digitale. Alessandro A. Nacci ACSO 2014/2014

Esercizi. Logica Digitale. Alessandro A. Nacci ACSO 2014/2014 Esercizi Logica Digitale Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 204/204 2 Esercizio - Prima Parte Si vuole realizzare un circuito combinatorio a quattro ingressi ( a, b, c, d ) e un uscita

Dettagli

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Pre-appello del 12 Gennaio Attenzione:

Architettura degli Elaboratori. Classe 3 Prof.ssa Anselmo. Pre-appello del 12 Gennaio Attenzione: Cognome.. Nome.... Architettura degli Elaboratori Classe 3 Prof.ssa Anselmo Pre-appello del 12 Gennaio 2018 Attenzione: Inserire i propri dati nell apposito spazio sottostante e in testa a questa pagina.

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2005-2006 prof. Stefano CASELLI prof. William FORNACIARI I compitino del 11 novembre 2005 Bozza soluzioni del

Dettagli

Macchine sequenziali. Automa a Stati Finiti (ASF)

Macchine sequenziali. Automa a Stati Finiti (ASF) Corso di Calcolatori Elettronici I Macchine sequenziali Prof. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Informazione Corso

Dettagli

Introduzione - Modello. Introduzione - progetto e strumenti

Introduzione - Modello. Introduzione - progetto e strumenti intesi equenziale incrona intesi Comportamentale di reti equenziali incrone di Macchine enza Processo di Ottimizzate a Livello Comportamentale Introduzione intesi comportamentale e architettura generale

Dettagli

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/ febbraio 2004

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/ febbraio 2004 Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/2002-4 febbraio 2004 COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere solo sui fogli

Dettagli

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Reti Sincrone Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Le variabili di stato future sono quelle all ingresso dei FF-D mentre le variabili di stato presente sono le uscite dei

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

Somma 3-bit. somma 3-bit con I/O sequenziale. somma 3-bit con I/O sequenziale. Osservazione

Somma 3-bit. somma 3-bit con I/O sequenziale. somma 3-bit con I/O sequenziale. Osservazione RETI COMBINATORIE In una rete combinatoria l uscita è funzione dei soli ingressi u = f () ADDIZIONATORE PARALLELO Addizionatore parallelo (a propagazione di riporto - ripple carry) per numeri binari di

Dettagli

Esercitazione del 26/03/ Soluzioni

Esercitazione del 26/03/ Soluzioni Esercitazione del 26/03/2009 - oluzioni 1. Bistabile asincrono C (detto anche R) C C ~ Tabella delle transizioni o stato prossimo: C * 0 0 0 0 0 0 1 1 0 1 0 0 0 1 1 0 1 0 0 1 1 0 1 1 1 1 0 X 1 1 1 X Configurazioni

Dettagli

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone Soluzione del campito scritto dell 8 settembre 204 7//204 tullio salmon cinotti Analisi di RSS Testo e quesiti - Prima parte

Dettagli

Informatica A a.a. 2010/ /02/2011

Informatica A a.a. 2010/ /02/2011 Politecnico di Milano Dipartimento di Elettronica e Informazione Informatica A a.a. 2010/2011 23/02/2011 Cognome Matricola Nome Firma dello studente Istruzioni Non separate questi fogli. Scrivete la soluzione

Dettagli

Sintesi Sequenziale Sincrona. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Sintesi Sequenziale Sincrona. Mariagiovanna Sami Corso di reti Logiche 8 Anno Sintesi Sequenziale Sincrona Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-2007- Introduzione Le uscite di un circuito sequenziale in un dato istante di tempo t dipendono: Dalla condizione iniziale

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori...

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori... Indice Prefazione del Prof. Filippo Sorbello........................... VII Prefazione del Prof. Mauro Olivieri............................ Prefazione degli autori.........................................

Dettagli

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019 UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019 Esercizio 1 Si realizzi un automa di Mealy che controlla il funzionamento

Dettagli

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome ESAME di PROGETTAZIONE di SISTEMI DIGITALI 11 Febbraio 2016 FILA A Nome e Cognome Esercizio 1 (6 punti). Si considerino otto registri R 1... R 8. Si progetti una rete di interconnessione tale che: se R

Dettagli

SCHEDA N D05_02A Data: 28/01/2005. ESERCIZIO N 2 7 punti. ESERCIZIO N 3 6 punti. ESERCIZIO N 4 7 punti. ESERCIZIO N 1 8 punti.

SCHEDA N D05_02A Data: 28/01/2005. ESERCIZIO N 2 7 punti. ESERCIZIO N 3 6 punti. ESERCIZIO N 4 7 punti. ESERCIZIO N 1 8 punti. SCHEDA N D05_02A Data: 2/01/2005 Nome Valutazione: ESERCIZIO N 2 7 punti Determinare la caratteristica di trasferimento a vuoto della seguente porta. Si assuma V CC 5 V. Determinare la corrente di uscita

Dettagli

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 10 Novembre 2009 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/ novembre 2006

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/ novembre 2006 Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/2006-13 novembre 2006 COGNOME: SOLUZIONE NOME: MATRICOLA: Istruzioni: Scrivere solo

Dettagli

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri Fondamenti di Informatica I prova in itinere 23 novembre 2012 Matricola Cognome Nome Istruzioni Durata prova: 1 ora

Dettagli

Esercitazione 1 di verifica

Esercitazione 1 di verifica Architettura degli Elaboratori, 007-08 Esercitazione 1 di verifica Soluzione: mercoledì 10 ottobre Domanda 1 Realizzare una rete combinatoria avente quattro variabili booleane di ingresso a, b,, y e due

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Lezione 11 -- 19/1/2012 Reti Logiche: esercizi sulle le reti combinatorie Emiliano Casalicchio emiliano.casalicchio@uniroma2.it Argomenti della lezione Reti combinatorie Decoder,

Dettagli

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri Fondamenti di Informatica I prova in itinere 18 novembre 2011 Matricola Cognome Nome Istruzioni Durata prova: 2 ore

Dettagli

Reti sequenziali. Nord

Reti sequenziali. Nord Reti sequenziali Nord Ovest Est Semaforo a due stati verde/rosso Sud Vogliamo definire un circuito di controllo per produrre due segnali NS ed EO in modo che: Se NS è on allora il semaforo è verde nella

Dettagli

Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti) (3 punti)

Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti) (3 punti) Cognome e Nome: Matr.: Architettura degli Elaboratori Inf A 14 febbraio 2013 Esercizio 1.A Aritmetica binaria (nel presentare le soluzione mostrare, almeno nei passaggi piú significativi, i calcoli eseguiti)

Dettagli

Informatica A. Istruzioni

Informatica A. Istruzioni Informatica A Cognome Nome Matricola o Codice studente Istruzioni Non separate questi fogli. Scrivete la soluzione solo sui fogli distribuiti, utilizzando il retro delle pagine in caso di necessità. Cancellate

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1 Reti combinatorie Reti sequenziali Sommatore Sottrattore Generatore di sequenze Riconoscitore di sequenze PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà eseguire, in un

Dettagli

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari Architetture e Reti logiche Esercitazioni VHDL a.a. 2003/04 VHDL Stefano Ferrari Università degli Studi di Milano Dipartimento di Tecnologie dell Informazione Stefano Ferrari Università degli Studi di

Dettagli

Macchine sequenziali

Macchine sequenziali Macchine sequenziali Dal circuito combinatorio al sequenziale (effetto di una retroazione) x z x j Y i, Rete Comb. Y i-, z h Y i,k M Y i-,k abilitazione a memorizzare M memorizza lo stato La nozione di

Dettagli