Reti Logiche A Prova di mercoledì 12 novembre 2003

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Reti Logiche A Prova di mercoledì 12 novembre 2003"

Transcript

1 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Prova di mercoledì 12 novembre 2003 Matricola Cognome Nome Istruzioni Scrivere solo sui fogli distribuiti. Non separare questi fogli. È vietato portare all esame libri, eserciziari, appunti e calcolatrici. Chiunque venga trovato in possesso di documentazione relativa al corso anche se non strettamente attinente alle domande proposte vedrà annullata la propria prova. Non è possibile lasciare l aula conservando il tema della prova in corso. Tempo a disposizione: 2h:00m. Valore indicativo di domande ed esercizi, voti parziali e voto finale: Esercizio 1 (2 punti) Esercizio 2 (2 punti) Esercizio 3 (3 punti) Esercizio 4 (3 punti) Esercizio 5 (3 punti) Esercizio 6 (2 punti) Esercizio 7 (1 punti) Esercizio 8 (punteggio non preassegnato) Con Soluzioni

2 Esercizio n. 1 Data la seguente espressione logica: abcde+ab+a bc+a bc +a+b c +b c la si semplifichi, utilizzando le proprietà delle algebre di commutazione. ab+ a bc+a bc +a+b c +b c (abcde+ab=ab, assorbimento) ab+a b(c+c )+a+b c +b c (a bc+a bc =a b(c+c ), distributiva) ab+ a b+ a+b c +b c (c+c =1, inverso) ab+a b+a+b (c+c ) (b c +b c=b (c+c ), distributiva) ab+a b+a+b (c+c =1, inverso) a+a b+b (a+ab=a, assorbimento) a+b+b (a+a b=b) a+1 (b+b =1,inverso) 1 (elemento nullo) Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 2 di 11

3 Esercizio n. 2 Data la seguente funzione ad una uscita, non completamente specificata: F(a,b,c,d)= ONset(0,4,5,13,15) DCset(2,8,11,14,10) I) Sulla mappa di Karnaugh individuare gli implicanti primi riportandone la forma algebrica e separando gli implicanti primi da quelli primi ed essenziali. II) Ricavare tutte le forme minime scegliendo una opportuna copertura della funzione sulla mappa, che minimizzi il numero di implicanti utilizzati ed il numero di letterali. III) Ricavare il costo della copertura ottenuta, utilizzando come costo il numero di letterali di ciascun termine prodotto. I) cd ab F B A C E x x x x x D Essenziali: nessuno Primi: A (b d ) B (a c d ) C (bc d) D (ac) E (abd) F (a bc ) II) D+B+C III) La soluzione costa 2(D)+3(B)+3(C)=8, e non è unica (c è anche A,F,E, sempre a costo in letterali uguale ad 8) Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 3 di 11

4 Esercizio n. 3 Data la seguente funzione non completamente specificata a due uscite F1(a, b, c, d)= ON_SET (m0, m2, m4, m5) DC_SET (m9,m11) F2(a, b, c, d)= ON_SET (m0, m2, m4, m10, m14) DC_SET (m5,m8) Calcolare con il metodo di Quine McCluskey gli implicanti primi f1f2 f1f2 f1f2 m v m0m A m0m2m8m F m0m B m v m0m v m v m v m2m v m4m C m v m8m v m v m v m9m D m10m E m v m v A,B,D,E e F sono implicanti primi!!!!!! D non è un implicante primo perchè copre solo DC della funzione F1 Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 4 di 11

5 Esercizio n. 4 Data la seguente tabella di copertura: F1 F2 m X1 m X2 m X3 m X4 m X5 m X6 m X7 m X8 m X9 m X10 m X11 m X12 m X13 m X14 m X16 COSTO A X X X X X X 6 B X X 5 C X X X X X X 4 D X X 4 E X X X X 4 F X X X X X 4 G X X X X 3 H X X 3 I X X X X 3 Si trovi una copertura minima utilizzando il metodo di Quine McCluskey visto a lezione, considerndo con m Xn un generico mintermine. F1= G + A + F F2= A + D + G Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 5 di 11

6 Esercizio n. 5 Utilizzando il modello algebrico, si consideri la rete logica definita dalle seguenti espressioni: q= c+b s= ae c + bc + dec + cd + b d t= ca + ab + de + b + ae v= ca e + b a e + c de + c b + ae c + cd + b d x= q y= s z= t u= v dove {a, b, c, d, e} sono gli ingressi e {x, y, z, u} sono le uscite. 1. si disegni il grafo associato alla rete logica e si calcoli il costo in termini di letterali; 2. si eseguano in sequenza le trasformazioni sotto elencante. Dopo ogni trasformazione è necessario verificare che il costo associato (letterali) alla rete trasformata non sia peggiore di quello prima della trasformazione. Se il costo risulta peggiore, la trasformazione non viene considerata e si passa alla successiva. In caso contrario la trasformazione viene considerata efficace. Nota: il calcolo del costo ad ogni passo deve essere effettuato con espressioni nella forma SOP. (a) Decomporre s, tramite fattorizzazione con algoritmo noto. Le sotto-espressioni derivanti dalla decomposizione possono essere dei vertici già presenti nella rete o dei nuovi vertici. (b) Estrarre da t e v due sotto-espressioni comuni a entrambi (si suggerisce di esaminare t per prima). Tali sotto-espressioni possono essere dei vertici già presenti nella rete o dei nuovi vertici. 3. si disegni il grafo associato alla rete finale trasformata, mettendo in evidenza il costo finale. 1. Soluzione espressione costo q= c+b 2 s= ae c + bc + dec + cd + b d 12 t= ca + ab + de + b + ae 9 v= ca e + b a e + c de + c b + ae c + cd + b d 18 costo totale (a) Soluzione Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 6 di 11

7 espressione costo q= c+b 2 s= rc + qd 4 r= ae + b + de 5 t= ca + ab + de + b + ae 9 v= ca e + b a e + c de + c b + ae c + 18 cd + b d costo totale 38 (b) Soluzione espressione costo q= c+b 2 s= rc + qd 4 r= ae + b + de 5 t= r + qa 3 s= rc + qa e + qd 7 costo totale 21 Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 7 di 11

8 Esercizio n. 6 Eseguire la moltiplicazione A B, utilizzando il numero minimo di bit per rappresentare entrambi, secondo l'algoritmo di Booth dei due seguenti fattori: A= -57 e B= -20. Nello svolgimento si mostrino tutti i passaggi effettuati. -A= A= B= B= B(Booth)= risultato su 14 bit (1140) Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 8 di 11

9 Esercizio n. 7 Fornire la codifica completa in virgola mobile a singola precisione del numero decimale 15,1375. Soluzione 15, = Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 9 di 11

10 Esercizio n. 8 Dato lo schema di un moltiplicatore combinatorio che effettua la somma per diagonali: I) Completare lo schema del moltiplicatore aggiungendo, se è il caso, alcune connessioni e descrivendo il significato di ogni connessione riportata. II) Indicare per le linee di somma e di riporto di ogni e il ritardo di tempo. Si assuma che un e un hanno ritardo pari a T. Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 10 di 11

11 a 3b 1 a 4b 0 a 2b 1 a 3b 0 a 1b 1 a 2b 0 a 0b 1 a 1b 0 a 0b 0 a 4b 1 [1] [1] [1] [1] [1] [1] a 3b 2 a 2b 2 a 1b 2 a 0b 2 a 4b 2 a 3b 3 a 2b 3 a 1b 3 a 0b 3 a 4b 3 a 3b 4 a 2b 4 a 1b 4 a 0b 4 a 4b 4 [9] [7] [6] [5] m 9 m 8 [8] m 7 m 6 m 5 m 4 m 3 m 2 [7] [6] [5] m 1 [1] m 0 Reti Logiche A Prova di mercoledì 12 novembre 2003 Esercizio n pagina 11 di 11

Reti Logiche A - Prova di mercoledì 17 novembre 2004

Reti Logiche A - Prova di mercoledì 17 novembre 2004 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A - Prova di mercoledì 7 novembre 2004 Matricola Cognome

Dettagli

Reti Logiche A Appello del 9 luglio 2009

Reti Logiche A Appello del 9 luglio 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Reti Logiche A Appello del 9 luglio 2009 Matricola Cognome Nome

Dettagli

Reti Logiche A Esame del 24 febbraio 2006

Reti Logiche A Esame del 24 febbraio 2006 Politecnico di Milano ipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. a) ata la seguente tabella di copertura: Reti Logiche

Dettagli

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Reti Logiche A - Prova di mercoledì 16 febbraio 2005 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Esercizio n. 1 Data la seguente espressione logica: ((c+a ) +c+a

Dettagli

Reti Logiche A II Prova - 2 marzo 2009

Reti Logiche A II Prova - 2 marzo 2009 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 2 marzo 2009 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

Reti Logiche A Esame del 14 febbraio 2006

Reti Logiche A Esame del 14 febbraio 2006 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Esercizio n. Eseguire la generazione degli implicanti primi con il metodo di Quine McCluskey

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

COGNOME: NOME: MATRICOLA:

COGNOME: NOME: MATRICOLA: Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/2002-18 febbraio 2004 - RECUPERO 1 PARTE COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere

Dettagli

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/ novembre 2003

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/ novembre 2003 Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2003/2004-19 novembre 2003 COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere solo sui fogli

Dettagli

Reti Logiche A II Prova - 11 febbraio 2008

Reti Logiche A II Prova - 11 febbraio 2008 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - febbraio 008 Matricola prof.ssa Cristiana Bolchini Cognome Nome Istruzioni

Dettagli

COGNOME: NOME: MATRICOLA:

COGNOME: NOME: MATRICOLA: Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2004/2005-18 febbraio 2005 - RECUPERO 1 PARTE COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere

Dettagli

A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A

A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A A.A. 2003/2004 Appunti ed integrazioni alle esercitazioni di Reti Logiche A A cura di F. Ferrandi, C. Silvano, A. Antola Ultimo aggiornamento, 16 aprile 2004 Questi appunti sono stati possibili anche per

Dettagli

A.A. 2003/2004 Esercizi di Reti Logiche A

A.A. 2003/2004 Esercizi di Reti Logiche A A.A. 2003/2004 Esercizi di Reti Logiche A A cura di F. Ferrandi, C. Silvano Ultimo aggiornamento, 11 novembre 2003 Questi appunti sono stati possibili anche per il lavoro fatto da alcuni studenti del corso

Dettagli

ESERCIZIO 1 Si consideri la seguente funzione f (A, B, C, D) non completamente specificata definita attraverso il suo ON-SET e DC-SET:

ESERCIZIO 1 Si consideri la seguente funzione f (A, B, C, D) non completamente specificata definita attraverso il suo ON-SET e DC-SET: Università degli Studi di Milano Corso Architettura degli elaboratori e delle reti Prof. Cristina Silvano A.A. 2004/2005 Esame scritto del 15 luglio 2005 Cognome: Matricola: Nome: Istruzioni Scrivere solo

Dettagli

Richiami di Algebra di Commutazione

Richiami di Algebra di Commutazione LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 6-7 Richiami di Algebra di Commutazione In questa

Dettagli

Esercizi. 1. Algebra booleana

Esercizi. 1. Algebra booleana Esercizi 1. Algebra booleana Esercizio 1.1 Data le forma logica F = (z(xy ) +x+yz ) +x y Svolgere i seguenti punti: Applicare le regole dell algebra per semplificare la forma riducendo il numero dei prodotti

Dettagli

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/ novembre 2006

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/ novembre 2006 Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2005/2006-13 novembre 2006 COGNOME: SOLUZIONE NOME: MATRICOLA: Istruzioni: Scrivere solo

Dettagli

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Quine. Metodo di Quine-McCluskey per più funzioni

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Quine. Metodo di Quine-McCluskey per più funzioni Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Quine ne-mccluskey Metodo di Quine-McCluskey per più funzioni Nel caso di funzioni a più uscite una prima soluzione

Dettagli

Reti Logiche A II Prova - 1 febbraio 2010

Reti Logiche A II Prova - 1 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A II Prova - 1 febbraio 2010 prof.ssa Cristiana Bolchini Esercizio n. 1 Data la

Dettagli

ACSO Architettura dei Calcolatori e Sistemi Operativi

ACSO Architettura dei Calcolatori e Sistemi Operativi Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Luca Breveglieri prof. Giuseppe Pelagatti prof.ssa Donatella Sciuto prof.ssa Cristina Silvano ACSO Architettura

Dettagli

Costruzione di. circuiti combinatori

Costruzione di. circuiti combinatori Costruzione di circuiti combinatori Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1 A B (A + B) 0 0 0 0 1 1 1 0 1 1 1 1 AND (prodotto): l uscita è 1

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

Tecniche di semplificazione. Circuiti digitali notevoli

Tecniche di semplificazione. Circuiti digitali notevoli Architettura degli Elaboratori e delle Reti Lezione 5 Tecniche di semplificazione Circuiti digitali notevoli F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano A.A.

Dettagli

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019 UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019 Esercizio 1 Si realizzi un automa di Mealy che controlla il funzionamento

Dettagli

Informatica A a.a. 2010/ /02/2011

Informatica A a.a. 2010/ /02/2011 Politecnico di Milano Dipartimento di Elettronica e Informazione Informatica A a.a. 2010/2011 23/02/2011 Cognome Matricola Nome Firma dello studente Istruzioni Non separate questi fogli. Scrivete la soluzione

Dettagli

Prova d esame di Reti Logiche T 13 Luglio 2016

Prova d esame di Reti Logiche T 13 Luglio 2016 Prova d esame di Reti Logiche T 13 Luglio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Esercitazioni su circuiti combinatori

Esercitazioni su circuiti combinatori Esercitazioni su circuiti combinatori Salvatore Orlando & Marta Simeoni Arch. Elab. - S. Orlando - 1 Algebra Booleana: funzioni logiche di base OR (somma): l uscita è 1 se almeno uno degli ingressi è 1

Dettagli

Algebra di Boole Cenni all Algebra di Boole

Algebra di Boole Cenni all Algebra di Boole Algebra di Boole Cenni all Algebra di Boole Introduzione Rappresentazione di una funzione combinatoria Proprietà dell algebra di commutazione Forme canoniche Teorema di espansione di Shannon Versione del

Dettagli

Algebra di Boole. Tavole di verità. Fondamenti di Informatica Algebra di Boole. Si basa su tre operazioni logiche: AND (*) OR (+) NOT (!

Algebra di Boole. Tavole di verità. Fondamenti di Informatica Algebra di Boole. Si basa su tre operazioni logiche: AND (*) OR (+) NOT (! Fondamenti di Informatica Algebra di Boole Prof.ssa Enrica Gentile Informatica e Comunicazione Digitale a.a. 2-22 Algebra di Boole Si basa su tre operazioni logiche: AND (*) OR () NOT (!) Gli operandi

Dettagli

Algebra di Boole Cenni all Algebra di Boole

Algebra di Boole Cenni all Algebra di Boole Algebra di Boole Cenni all Algebra di Boole Introduzione Rappresentazione di una funzione combinatoria Proprietà dell algebra di commutazione Forme canoniche Teorema di espansione di Shannon Versione del

Dettagli

Sintesi di Reti Combinatorie

Sintesi di Reti Combinatorie Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Quine-McCluskey per reti a più uscite Mariagiovanna Sami Corso di Reti Logiche B 08 Sintesi a due livelli Reti

Dettagli

circuiti combinatori Esercitazioni su Algebra Booleana: funzioni logiche di base Algebra booleana: equazioni

circuiti combinatori Esercitazioni su Algebra Booleana: funzioni logiche di base Algebra booleana: equazioni Esercitazioni su circuiti combinatori Salvatore Orlando & Marta Simeoni Algebra Booleana: funzioni logiche di base NOT (complemento): l uscita è il complemento dell ingresso A A 0 1 1 0 NAND A B (A B)

Dettagli

Tutorato architettura degli elaboratori modulo I (lezione 3)

Tutorato architettura degli elaboratori modulo I (lezione 3) Tutorato architettura degli elaboratori modulo I (lezione 3) Moretto Tommaso 03 November 2017 1 Algebra di Boole L aritmetica binaria è stata adottata perché i bit sono rappresentabili naturalmente tramite

Dettagli

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Metodo di Quine- McCluskey

Metodo di Quine- McCluskey Metodo di Quine- McCluskey Maurizio Palesi Maurizio Palesi Definizioni Date due funzioni f(x,x 2,,x n ) e g(x,x 2,,x n ) si dice che f copre g (oppure g implica f) e si scrive f g se f(x,x 2,,x n )= quando

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Algebra Booleana - Introduzione Per descrivere i dispositivi digitali è necessario avere Un modello che permetta di rappresentare insiemi di numeri binari; Le funzioni che li mettano

Dettagli

Ottimizzazione di funzioni combinatorie

Ottimizzazione di funzioni combinatorie Ottimizzazione di funzioni combinatorie Per ottimizzazione di una funzione si intende la sua trasformazione, attraverso passi successivi, con lo scopo di ottenere un espressione equivalente ma migliore

Dettagli

Metodo di Quine- McCluskey

Metodo di Quine- McCluskey Metodo di Quine- McCluskey Maurizio Palesi Maurizio Palesi 1 Definizioni Date due funzioni f(x 1,x 2,,x n ) e g(x 1,x 2,,x n ) si dice che f copre g (oppure g implica f) e si scrive f g se f(x 1,x 2,,x

Dettagli

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini Appello di Progettazione di Sistemi Digitali 16 Settembre 2013 - Docenti: Proff. Gorla e Massini Esercizio 1 (3 punti): Convertire in base 4 con rappresentazione in virgola fissa il numero decimale 214,1362

Dettagli

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh. Mariagiovanna Sami a.a.

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh. Mariagiovanna Sami a.a. Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh Mariagiovanna Sami a.a. 2007-2008 2008 livelli: Introduzione Le reti combinatorie mostrano in ogni istante

Dettagli

Algebra di Boole Cenni all Algebra di Boole. Algebra Booleana: definizione

Algebra di Boole Cenni all Algebra di Boole. Algebra Booleana: definizione Algebra Booleana: operazioni e sistema algebrico Algebra di Boole Cenni all Algebra di Boole Introduzione Rappresentazione di una funzione combinatoria Proprietà dell algebra di commutazione Forme canoniche

Dettagli

Prima&esercitazione&

Prima&esercitazione& Algoritmi Iterativi con Cicli, Logica Booleana e Prima&esercitazione& Mappe di Karnaugh Alessandro A. Nacci Riccardo(Ca*aneo( alessandro.nacci@polimi.it ( Dipar/mento(di(Ele*ronica,(Informazione(e(Biomedica(

Dettagli

Fondamenti dell Informatica Algebra di Boole. Prof.ssa Enrica Gentile

Fondamenti dell Informatica Algebra di Boole. Prof.ssa Enrica Gentile Fondamenti dell Informatica Algebra di Boole Prof.ssa Enrica Gentile Algebra di Boole Si basa su tre operazioni logiche: AND (*) OR (+) NOT (!) Gli operandi possono avere solo due valori: Vero () Falso

Dettagli

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh

Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh Sintesi di Reti Combinatorie Ottimizzazione di Reti Combinatorie a Due Livelli: Metodo di Karnaugh Introduzione Metodo di Karnaugh per reti completamente specificate Le condizioni di indifferenza Metodo

Dettagli

Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4.

Soluzioniagliesercizi Capitolo 2 Soluzione 2.1. Soluzione 2.2. Soluzione 2.3. Soluzione 2.4. I Soluzioni agli esercizi apitolo 2 Soluzione 2.. Partendo dall espressione a destra dell uguale si applica ripetutamente il teorema di e Morgan ed infine la proprietà distributiva. Soluzione 2.2. cb +

Dettagli

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/ febbraio 2004

Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/ febbraio 2004 Politecnico di Milano - Facoltà di Ingegneria INFORMATICA A - Corso per allievi GESTIONALI - Prof. C. SILVANO A. A. 2001/2002-4 febbraio 2004 COGNOME: NOME: MATRICOLA: Istruzioni: Scrivere solo sui fogli

Dettagli

1 Minimizzazione di espressioni logiche con le proprietà dell algebra

1 Minimizzazione di espressioni logiche con le proprietà dell algebra 1 Minimizzazione di espressioni logiche con le proprietà dell algebra di Boole 1.1 Esercizi con soluzione Esercizio 1.1 - Data la seguente funzione F: F = a bcd + abcd + ab cd + a bc d 1. Utilizzando le

Dettagli

Ottimizzazione delle reti combinatorie

Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie Ottimizzazione delle reti combinatorie L ottimizzazione di un circuito comporta normalmente un compromesso tra: Prestazioni (ritardo di propagazione) Area (o costo)

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Esercizi di sintesi - Soluzioni

Esercizi di sintesi - Soluzioni Esercizi di sintesi - Soluzioni Rappresentazioni possibili per una funzione logica: circuito logico: A B Y forma tabellare (tabella lookup): formula algebrica: A B Y 0 0 0 0 1 1 1 0 1 1 1 0 Y= (NOT A)B

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 5

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 5 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 5 Prof. Rosario Cerbone rosario.cerbone@libero.it a.a. 2005-2006 Ottimizzazione di circuiti combinatori In questa lezione vengono riassunti i concetti

Dettagli

Algebra di Boole. Cenni all Algebra di Boole

Algebra di Boole. Cenni all Algebra di Boole Algebra di Boole Cenni all Algebra di Boole Introduzione Rappresentazione di una funzione combinatoria Proprietà dell algebra di commutazione Forme canoniche Teorema di espansione di Shannon Algebra Booleana:

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione Parte seconda Algebra di Commutazione: rappresentazione di una funzione Una funzione di commutazione a n variabili è una funzione del tipo: f: {0,1} n {0,1} Una funzione di commutazione

Dettagli

* Y+2 se X e' minore o uguale a Y * X-Y-1 se X e' maggiore di Y. Esercizio 4 (6 punti) Quale delle seguenti equivalenze è corretta?

* Y+2 se X e' minore o uguale a Y * X-Y-1 se X e' maggiore di Y. Esercizio 4 (6 punti) Quale delle seguenti equivalenze è corretta? Nome: Cognome: matricola o n. documento: COMPITO A Esercizio 1 (6 punti) Sia data la rappresentazione in virgola mobile così definita: 1 bit di segno; 8 bit per l esponente in complemento a due; 23 bit

Dettagli

Minimizzazione di funzioni booleane

Minimizzazione di funzioni booleane Corso di Calcolatori Elettronici I Minimizzazione di funzioni booleane Prof. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Informazione

Dettagli

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione.

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione. Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 13 Novembre 2012 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene

Dettagli

Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR

Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR Corso di Calcolatori Elettronici I A.A. 2010-2011 Algebra di Boole: mappe di Karnaugh e funzioni NAND e NOR Lezione 7 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Funzioni Equivalenza

Dettagli

Algebra di commutazione

Algebra di commutazione Algebra di commutazione E un caso particolare di algebra booleana. B = Dominio Op1 = AND Vale 1 solo se entrambi gli operandi sono 1 Op2 = OR Vale 0 se entrambi I termini sono zero, altrimenti 1 Op3 =

Dettagli

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione.

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione. Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Prova in itinere del 13 Novembre 2012 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene

Dettagli

Domande di Reti Logiche compito del 18/07/2017

Domande di Reti Logiche compito del 18/07/2017 Se in una mappa di Karnaugh alcuni zeri vengono sostituiti da non-specificati, il costo della sintesi di costo minimo in forma SP della rete corrispondente: Non può aumentare Non può diminuire Barrare

Dettagli

Esercizio , (+61,81) CA2: , = , (-61,81)

Esercizio , (+61,81) CA2: , = , (-61,81) Compito A Es. : Esprimi in complemento a due il numero decimale - 6,8 arrestandosi al 6 bit dopo la virgola. Esprimi lo stesso numero normalizzato in virgola mobile. Quanti bit sono necessari complessivamente

Dettagli

La codifica dell informazione

La codifica dell informazione La codifica dell informazione Codifica dati e istruzioni Algoritmi = istruzioni che operano su dati. Per scrivere un programma è necessario rappresentare istruzioni e dati in un formato tale che l esecutore

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Corso di Calcolatori Elettronici I

Corso di Calcolatori Elettronici I Corso di Calcolatori Elettronici I Algebra di Boole: minimizzazione di funzioni booleane Roberto Canonico Università degli Studi di Napoli Federico II A.A. 2014-2015 Roberto Canonico Corso di Calcolatori

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti rchitettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff.. orghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Metodo di Quine-McCluskey. Algoritmo. Sommario. Sommario. M. Favalli

Metodo di Quine-McCluskey. Algoritmo. Sommario. Sommario. M. Favalli Sommario Metodo di Quine-McCluskey M. Favalli Engineering Department in Ferrara 2 3 Sommario (ENDIF) Reti logiche / 46 Algoritmo (ENDIF) Reti logiche 2 / 46 2 3 Metodo esatto per la sintesi di reti a 2

Dettagli

Minimizzazione a più livelli di reti combinatorie Cristina Silvano

Minimizzazione a più livelli di reti combinatorie Cristina Silvano Minimizzazione a più livelli di reti combinatorie Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Milano (Italy) Sommario Modello booleano e modello algebrico

Dettagli

I Indice. Prefazione. Capitolo 1 Introduzione 1

I Indice. Prefazione. Capitolo 1 Introduzione 1 I Indice Prefazione xi Capitolo 1 Introduzione 1 Capitolo 2 Algebra di Boole e di commutazione 7 2.1 Algebra di Boole.......................... 7 2.1.1 Proprietà dell algebra.................... 9 2.2

Dettagli

Algebra di Boole: mappe di Karnaugh

Algebra di Boole: mappe di Karnaugh Corso di Calcolatori Elettronici I Algebra di Boole: mappe di Karnaugh Prof. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Informazione

Dettagli

Esercitazione del 15/03/ Soluzioni

Esercitazione del 15/03/ Soluzioni Esercitazione del 15/03/2007 - Soluzioni Rappresentazioni possibili per una funzione logica: circuito logico: A B Y forma tabellare (tabella lookup): formula algebrica: A B Y 0 0 0 0 1 1 1 0 1 1 1 0 Y=

Dettagli

Esercizio 2: controllare l identità delle seguenti due funzioni di 4 variabili :

Esercizio 2: controllare l identità delle seguenti due funzioni di 4 variabili : Compito A Esercizio 1 Data la seguente tabella di verità ricavare la forma canonica congiuntiva e disgiuntiva. Ricavare poi la EB minima usando le mappe di Karnaugh. a b c y 0 0 0 1 0 0 1 0 0 1 0 1 0 1

Dettagli

Politecnico di Milano - Dipartimento di Elettronica, informazione e Bioingegneria Prof. Mauro Negri

Politecnico di Milano - Dipartimento di Elettronica, informazione e Bioingegneria Prof. Mauro Negri Politecnico di Milano - Dipartimento di Elettronica, informazione e Bioingegneria Prof. Mauro Negri Fondamenti di Informatica II prova 13 febbraio 2015 Matricola/codice persona Cognome Nome Durata prova:

Dettagli

Sommario. 1 Calcolo degli implicanti primi. 2 Copertura. 3 Funzioni a piú uscite. Algoritmo. 1 Fase di espansione 2. 2 Fase di copertura

Sommario. 1 Calcolo degli implicanti primi. 2 Copertura. 3 Funzioni a piú uscite. Algoritmo. 1 Fase di espansione 2. 2 Fase di copertura Sommario Metodo di Quine-McCluskey M. Favalli Engineering Department in Ferrara 2 3 Sommario Algoritmo Metodo esatto per la sintesi di reti a 2 livelli Fattibile fino a circa 20 ingressi In grado di considerare

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Testo di riferimento: [Congiu] - 2.4 (pagg. 37 57) Reti Logiche Combinatorie 00.b Analisi Minimizzazione booleana Sintesi Rete logica combinatoria: definizione 2 Una rete logica combinatoria èuna rete

Dettagli

Metodo di Quine-McCluskey. Algoritmo. Sommario. Sommario. M. Favalli

Metodo di Quine-McCluskey. Algoritmo. Sommario. Sommario. M. Favalli Sommario Metodo di Quine-McCluskey M. Favalli Engineering Department in Ferrara 2 3 Sommario Analisi e sintesi dei sistemi digitali / Algoritmo Analisi e sintesi dei sistemi digitali 2 / 2 3 Metodo esatto

Dettagli

Sintesi di Reti Logiche Combinatorie

Sintesi di Reti Logiche Combinatorie Corso di Laurea in Informatica Sintesi di Reti Logiche Combinatorie Architettura dei Calcolatori Prof. Andrea Marongiu andrea.marongiu@unimore.it Anno accademico 28/9 Forma canonica La più immediata forma

Dettagli

Fondamenti di Informatica B. Esercitazione n.2

Fondamenti di Informatica B. Esercitazione n.2 Fondamenti di Informatica B Esercitazione n.2 Fondamenti di Informatica B Esercitazione n.2 Circuiti combinatori Sintesi mediante mappe di Karnaugh Mappe di Karnaugh con 5 variabili Esercitazione n.2 Mappe

Dettagli

x y z F x y z F

x y z F x y z F Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

FONDAMENTI DI INFORMATICA

FONDAMENTI DI INFORMATICA Politecnico di Milano COGNOME E NOME Scuola di Ingegneria Industriale e dell Informazione FONDAMENTI DI INFORMATICA Appello 28 Gennaio 2019 RIGA COLONNA CODICE PERSONA Spazio riservato ai docenti Il presente

Dettagli

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione.

Ogni parte non cancellata a penna sarà considerata parte integrante della soluzione. Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Appello del 16 Settembre 2011 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene 3 esercizi

Dettagli

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere

Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri. Fondamenti di Informatica I prova in itinere Politecnico di Milano - Dipartimento di Elettronica e informazione Prof. Mauro Negri Fondamenti di Informatica I prova in itinere 18 novembre 2011 Matricola Cognome Nome Istruzioni Durata prova: 2 ore

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Lezione 11 -- 19/1/2012 Reti Logiche: esercizi sulle le reti combinatorie Emiliano Casalicchio emiliano.casalicchio@uniroma2.it Argomenti della lezione Reti combinatorie Decoder,

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo:

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Algoritmo QMC

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Algoritmo QMC Reti Logiche Prof. B. Buttarazzi A.A. 2009/200 Algoritmo QMC Sommario Metodo algoritmico di Quine e Mc-Cluskey Implicanti primi Riga essenziale Riga dominata Esempi Riepilogo ALGORITMO DI KARNAUGH () MONOMIO:

Dettagli

Esercizio 1. Sintesi ottima SP e NAND

Esercizio 1. Sintesi ottima SP e NAND Esercizio Sintesi ottima SP e NAND x x 0 x 00 3 x 2 00 0 0 0 0 0 0 0 x 4 = 0 X x 0 x 00 3 x 2 00 0 0 0 x 4 = U = x 4 x 2 + x 4 x 3 x + x 2 x x 0 + x 3 x x 0 + x 4 x 3 x 0 + x 3 x 2 x x 0 U nand = (x 4

Dettagli

Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche

Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche Calcolatori Elettronici Lezione 2 Algebra delle reti Logiche Ing. Gestionale e delle Telecomunicazioni A.A. 27/8 Gabriele Cecchetti Algebra delle reti logiche Sommario: Segnali digitali vs. segnali analogici

Dettagli

Algebra di commutazione. Reti combinatorie

Algebra di commutazione. Reti combinatorie lgebra di commutazione Reti combinatorie Corso CSO prof. C. Silvano lgebra di oole L algebra di oole (dal suo inventore, il matematico inglese George oole, 1815-1864) 86 serve e a descrivere e e le operazioni

Dettagli

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Appello del 21 Luglio 2010 COGNOME E NOME RIGA COLONNA MATRICOLA

Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Appello del 21 Luglio 2010 COGNOME E NOME RIGA COLONNA MATRICOLA Politecnico di Milano Facoltà di Ingegneria Industriale INFORMATICA B Appello del 21 Luglio 2010 COGNOME E NOME RIGA COLONNA MATRICOLA Spazio riservato ai docenti Il presente plico contiene 4 esercizi,

Dettagli

Terminiamo gli esercizi dell ultima lezione. (LUCIDI) Calcolare, se possibile, AC, CA, CH e HC. (LUCIDI)

Terminiamo gli esercizi dell ultima lezione. (LUCIDI) Calcolare, se possibile, AC, CA, CH e HC. (LUCIDI) Terminiamo gli esercizi dell ultima lezione. (LUCIDI) Esempi Calcolare, se possibile, AC, CA, CH e HC. (LUCIDI) Osservazioni per le matrici quadrate a) Data A M n (K) è possibile definire ricorsivamente

Dettagli

Minimizzazione di Reti Logiche Combinatorie Multi-livello

Minimizzazione di Reti Logiche Combinatorie Multi-livello Minimizzazione di Reti Logiche Combinatorie Multi-livello Maurizio Palesi Maurizio Palesi 1 Introduzione I circuiti logici combinatori sono molto spesso realizzati come reti multi-livello di porte logiche

Dettagli

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali Tutorato di Calcolatori

Dettagli

Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli