Esercizi Risolti RETI LOGICHE T (Modulo 2)

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Esercizi Risolti RETI LOGICHE T (Modulo 2)"

Transcript

1 Esercizio 1 Utilizzando l approccio visto nella realizzazione dell adder binario interno alla ALU si esegua il procedimento di sintesi del componente ADDER_5 4 che sommi operandi in base 4 (es 3+3=12; 1+3=1) da 5 cifre. Si utilizzi la normale codifica binaria posizionale per le cifre rappresentanti gli operandi ed il risultato. In figura è rappresentato un esempio di operazione che la rete deve essere in grado di compiere ed è messa in evidenza la parte delegata ad un generico Full Adder base 4 (FA 4). In particolare sono richiesti: Blocco ai morsetti del full adder FA 4 per sommare in base 4, tenendo anche in considerazione il riporto, due cifre nella medesima posizione degli operandi. Lo schema logico di alto livello di ADDER_5 4 che evidenzi la connessione fra i full adder. La tabella di verità del full adder in base 4 (FA 4) Le mappe, le coperture minime e le espressioni minime SP delle uscite di FA 4 per operandi in base 4 Lo schema logico della rete minima SP che calcola il riporto in uscita (C out) per un qualsiasi FA 4 Il full adder realizzato è una rete sequenziale o combinatoria? L adder risultante dalla connessione dei full adder è una rete sequenziale o combinatoria? Motivare le risposte. Il blocco ai morsetti e lo schema logico sono esposti qui di seguito: alfredo.delia4@unibo.it 1

2 Si tratta di reti combinatorie, perché qualsiasi sia la situazione, ai medesimi ingressi deve corrispondere sempre la stessa uscita. La tabella di verità del FA 4: ingressi Uscite C in A1 A B1 B S1 S C out nota ++= = = = = = = = = = = = = = = = = = = = = = = = = = = = = = = =13 Mappa ed espressione di S: B1B A1A A1A Cin= Cin= S = C (A in B + A B ) + C in (A B + A B ) B1B alfredo.delia4@unibo.it 2

3 Mappa ed espressione di S1: A1A A1A Cin= Cin= *$ 1 1$ 1 1 1* 1 1& 1 & @ 1@ 1 1# 1# 1 1 1@ 1@ S 1 = B B 1 A A 1 + B 1 B A 1 A + B 1 B A 1 A $ + C in (B 1 B A 1 + B 1 A 1 A + B 1 B A # 1 + B A 1 1 A ) B1B Mappa ed espressione di Cout: B1B + C in (B 1 B A + A B B & 1 A 1 + B 1 A A 1 ) B1B A1A A1A Cin= Cin= ? 1 1@ 1 1@?! 1! # 1 #$ 1#! $ 1?$ 1 C out = B 1 A 1 + B 1 B A + B A 1 + C in B 1 B # + C in A 1 A?! $ + C in B A 1 + C in B 1 A B1B alfredo.delia4@unibo.it 3

4 Esercizio 2 Con riguardo alla rete sequenziale sincrona rappresentata in figura in cui tutti i FFD sono comandati dallo stesso segnale di clock, si utilizzino gli strumenti e la metodologia tipiche dell analisi per derivare il comportamento della rete. In particolare: Si individuino le variabili di stato e le espressioni delle variabili di stato futuro Si riportino la tabella delle transizioni e la tabella di flusso Si ricavi il diagramma degli stati Si descriva chiaramente il comportamento della rete: come varia l uscita in funzione della sequenza di ingresso? La rete è di Mealy o di Moore? Motivare le risposte C A B Per prima cosa, notiamo che A 1 = A Di conseguenza solo quando l and ha in ingresso 11 abbiamo che out=. Per tutto il resto del tempo, sarà out=1. Possiamo quindi già affermare che il circuito riconosce la sequenza 11, e ne segnala la presenza portando a l output. La rete è di Moore, perché l ingresso in non partecipa direttamente al calcolo dell uscita, che dipende solo dallo stato presente. Da questo punto in poi, per semplicità, considererò uscita il nodo compreso tra and ed exor. Per ottenere out quindi, basterà negare quanto otterremo, come visto nel paragrafo appena sopra. Le variabili di stato sono tre, una per ciascun ingresso dell and. Le chiamiamo A, B, C dove A esce dal primo FFD, B dal secondo e C dal terzo. Avremo che C future = B, B future = A, A future = in. Gli stati della rete sono quindi le 8 configurazioni di queste tre variabili C: pertanto la tabella delle transizioni e di flusso sarà Stato C in= in=1 Out* S S1 S2 S3 S4 S5 S6 S (S) (S) 1 (S1) 1 (S1) 1 (S2) 1 (S2) 11 (S3) 11 (S3) 1 (S4) 1 (S4) 11 (S5) 11 (S5) 11 (S6) 11 (S6) 111 (S7) 111 (S7) 1 alfredo.delia4@unibo.it 4

5 Di seguito il diagramma degli stati. 5

6 Esercizio 3 Si realizzi tramite il procedimento di sintesi visto nel corso la rete logica R che ha un solo ingresso I e due uscite U ed U1. R è un riconoscitore di impulsi che attiva U in caso di impulso negativo di esattamente 2 clock ed U1 in caso di impulso positivo di esattamente due clock. Quando un impulso di due clock viene individuato R modifica la corrispondente uscita durante il clock di riconoscimento e la disattiva al successivo. Supporre che la rete venga inizializzata proponendo in ingresso per 8 clock consecutivi. Un esempio del comportamento di R è riportato nella tabella sottostante: Clock T T T T T T T T T T T T T T I U 1 1 U1 1 Si rappresentino il diagramma degli stati, la tabella di flusso, la codifica scelta per lo stato, e la tabella delle transizioni. Si utilizzino poi le mappe di Karnaugh per ottenere le espressioni minime SP delle uscite e delle variabili di stato futuro. Si rappresenti infine lo schema logico di R, evidenziando la rete F e la rete G. Risoluzione con rete di Mealy La scelta di Mealy è forzata, visto il testo. Viene infatti richiesto che l uscita sia modificata durante il clock di riconoscimento. Una risoluzione tramite Moore consentirebbe di modificare l uscita solo al clock successivo in quanto bisogna dare tempo alla rete per cambiare il proprio stato. Il grafo degli stati [U U 1]: alfredo.delia4@unibo.it 6

7 La tabella di flusso: I= I=1 S S, S1, S1 S4, S2, S2 S4,1 S3, S3 S4, S3, S4 S5, S1, S5 S, S1,1 Codifichiamo gli stati con 3 bit, nella maniera seguente. La tabella delle transizioni e di flusso: C [U U 1]; I= I=1 S, 1, S1 1 11, 11, S ,1 111, S , 111, S4 11 1, 1, S5 1, 1,1 Tutti i casi mancanti sono di indifferenza. Notiamo che altre codifiche sono possibili e non sbagliate. Sintesi per U: Sintesi per U1: Sintesi per la variabile di stato futuro A f1 : Sintesi per la variabile di stato futuro B f1 : Sintesi per la variabile di stato futuro Cf: U = A B CI U 1 = C I A f = I B f = A CI @ - 1@ 11 1@# 1 1 1@# 1 1# - -# C f = + + AI # 1 La codifica utilizzata permette di ricavare istantaneamente (senza l uso delle mappe) l espressione di Af e Bf. alfredo.delia4@unibo.it 7

8 Rete F Rete G Esercizio 4 L and dà in output 1 solo per count=xf. Di conseguenza per Se x<count<xf Se Count = xf lo shift register è in modalità 1 = shift right lo shift register è in modalità 11 = load T T T T T T T T T T T T T T T T T T count h 1h 2h 3h 4h 5h 6h 7h 8h 9h Ah Bh Ch Dh Eh Fh h 1h register D5h USR (h) C2 E1 7 B8 DC EE F7 7B 3D 1E 8F D5 6A USR futuro C2 E1 7 B8 DC EE F7 7B 3D 1E 8F D5 6A B5 A1A U alfredo.delia4@unibo.it 8

9 Esercizio 6 Data la mappa in figura della funzione G, si ricavino le espressioni minime SP e PS Nell espressione ricavata si metta in evidenza la variabile F ottenendo G = (G1 AND F) OR (G2 AND (F*)). Quale rete logica nota ci consente di ottenere G supponendo di avere F, G1, e G2? Scrivere una possibile mappa di Karnaugh che implementa G1. SP: PS: EF= EF= $ 1$ 1 1@ 1 $ 1 1$ 11 1@ 1@ EF= EF= # 1# 1 1 1# 1# 1 G = A DE F + BC E + E + A E $ + A B + A B C D EF + DE # + AD EF EF= EF= * 1 1 * * 1 * * * 1 * * EF= EF= # # # # G = (B + D + E) (C + D + A)(A + B + E)(A + C + F )(A + B + D + E ) # (A + B + D + E )(A + D + E + (A + C + B + E) Per quanto riguarda la seconda domanda, la risposta è senza dubbio un multiplexer, perché la sua espressione è proprio quella scritta nel testo. Per ottenere la mappa di Karnaugh di G1 iniziamo con il raccogliere F e not-f. G = A DE F + BC E F + E + A E + A B + A B C D EF + DE + AD EF = F (A DE + BC E + A B C D E) + E + A E + A B + DE + F(AD E) I termini che non contengono né F né not-f possono essere inclusi in entrambe le parentesi, perché X = X(Y + Y ) = XY + XY Quindi G1 = AD E # + E + A E + A + DE alfredo.delia4@unibo.it 9

10 Da cui la mappa in 5 variabili (E): E= E= @ 1 1@ # 1# 1 1 1# 1 1 1# Nota bene: la mappa ricavata è la stessa che veniva data nel testo del problema, considerato F=1. Esercizio 7 A1A B C 2A 2B 4C 3 2A+2B+4C Da cui si ricava che per l uscita servono 4 bit. I bit sono nell ordine U3U2U1U. Quindi la mappa di Karnaugh del bit U2 è la seguente: BC A1A 1 1@ 1@ # 1 1 1# U 2 = A 1 A B C + A 1 A B C + A A 1 + A 1 A C + A 1 BC # + A BC 1 In questa mappa di Karnaugh non ci sono configurazioni soggette ad alea statica. alfredo.delia4@unibo.it 1

11 Esercizio 8 Si richiede di disegnare il grafo degli stati di una rete sequenziale sincrona, con un ingresso (RESET) e un uscita (OUT) il cui comportamento a parole è di seguito descritto: Tutte le volte che il segnale RESET = 1, la rete dovrà riportare in uscita il valore logico A partire dal primo periodo di clock in cui RESET =, e per tutto il tempo in cui RESET permane a, la rete dovrà generare in uscita una forma d onda che generi un impulso negativo della durata di un clock ogni 3 fronti positivi del clock. Esercizio 9 Indicare nell apposita tabella l andamento dei segnali J J1 J2 e J3 ed U, supponendo che il valore memorizzato nel registro sia DC7A esadecimale e che esso sia in modalità Hold. Scrivere inoltre brevemente come si comporta la rete rappresentata. Q[15..] contiene DC7A h = Clock T T T T T T T T T J[3..] U Con questa rete si genera una forma d onda alfredo.delia4@unibo.it 11

12 Le altre reti proposte sono combinatorie, perché non ci sono elementi di memoria. Le uscite valgono Esercizio 1 Nel caso di sommatore e registro, abbiamo che arrivati allo stato presente S27 lo stato futuro deve essere S. Quindi a 27, cioè 1111, il multiplexer manda in ingresso al sommatore per fare in modo che lo stato futuro sia. U = C (A 5 A 4 A 3 A 2 A 1 A ) U 1 = C (B 4 B 3 B 2 B 1 B ) Alternativamente, come visto a lezione, si può usare il segnale uscente dall and, negato, per azzerare l ingresso del registro. Con questa soluzione non è necessario il multiplexer presente in figura. Per quanto concerne il contatore per 28 con i B, ne servono 2. Il primo conta le unità, e alla decina raggiunta incrementa il secondo, che conta le decine. Quando in uscita dal primo c è 7, e in uscita dal secondo c è 2, si deve fare in modo che entrambi vedano come stato futuro. Esercizio Servono 4t clk 2. La frequenza è data da t clk > t su + t RC + t R Per quanto riguarda RC va scelto il caso peggiore. Di conseguenza sceglieremo la rete RC che impiega più tempo a fare i suoi calcoli e cioè RC1: alfredo.delia4@unibo.it 12

13 f MAX = = = ~1,1 MHz t clkmin ( ) Nel secondo caso la frequenza è 1 9 f 2 = ~,7 MHz Quindi avremo che la prima uscita sarà disponibile dalla prima rete dopo T = = 3,6μs Da questo istante in poi ad ogni clock avremo un uscita disponibile. Quindi la 1esima uscita sarà disponibile a Per la seconda invece 1 T = 3, = 92,7μs T 2 = = 2,6μs 1 T 2 = 2, = 131,3μs Esercizio 12 Si richiede di ricavare l espressione minima SP della funzione di uscita U di una rete combinatoria avente come ingresso 4 variabili binarie I 3 I 2 I 1 I, il cui funzionamento è descritto dalle seguenti specifiche: L uscita U vale 1 per tutte le configurazioni d ingresso tali che I 3=1 e I = 1 L uscita U vale 1 per tutte le configurazioni d ingresso tali che I 2=1 e I 1I = L uscita U vale per tutte le altre configurazioni d ingresso Domanda 1) Ricavare l espressione minima SP dell uscita U utilizzando la mappa di Karnaugh di seguito riportata, evidenziando i raggruppamenti effettuati. I 3I 2 I 1I U = I 3 I + I 2 I 1 I Domanda 2) La rete ottenuta al punto 1 presenta alee? Se si, riportare nel seguito i termini ridondati da introdurre per evitare a priori il problema dell alea statica. Sotto quali altre condizioni la rete non presenta a priori il problema dell alea statica? La rete presenta possibili alee in quanto gli uni in 11 e in 111, che sono adiacenti, non si trovano in uno stesso raggruppamento. Si potrebbe aggiungere l addendo I 3 I 2 I 1. Quando i segnali cambiano uno alla volta, se la rete è completamente specificata, si risolve il problema delle alee facendo in modo che ogni coppia di 1 adiacenti sia racchiusa in un raggruppamento. alfredo.delia4@unibo.it 13

14 Esercizio 13 Il grafo degli stati: Con 6 stati, servono 3 variabili di stato. Esercizio 14 Espressioni di stato futuro dei due FF-D: Completamento dell andamento dei segnali Q 1f = I Q f = IQ + Q 1 Q CLOCK T T T T T T T T T T I=D Q Q 1F Q =U Q F La frequenza è data da t clk > t su + t RC + t R (1) Ai fini della frequenza massima di funzionamento dovremo considerare il percorso dati critico all ingresso dei FF-D. FF1 impone un tempo di setup di 1 ms, ma il suo ingresso è I, che arriva immediatamente. FF impone un tempo di setup di 8 ms, ma il suo ingresso può provenire da due diversi percorsi: 1. Dal MUX, dopo il cambio di un ingresso (I o Q 1) 2. Dal MUX, dopo il cambio di Q che è il segnale di controllo Q è valido dopo il tempo di risposta di FF, cioè 2 ms, mentre Q 1 è valido dopo il tempo di risposta di FF1 (5 ms). Quindi, nonostante il MUX sia più lento quando viene modificato il segnale di controllo, nel nostro caso possiamo considerare che impieghi 3 ms perché quando Q 1 cambia il segnale di controllo è già stabile. alfredo.delia4@unibo.it 14

15 Applicando la formula (1) all ingresso di FF, otteniamo t clk > = 16 ms f MAX = = = t clk ~62,5Hz alfredo.delia4@unibo.it 15

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Reti Sincrone Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Le variabili di stato future sono quelle all ingresso dei FF-D mentre le variabili di stato presente sono le uscite dei

Dettagli

Esercizio 3 (Sintesi minima di una rete sequenziale sincrona)

Esercizio 3 (Sintesi minima di una rete sequenziale sincrona) Esercizio 1 Utilizzando l approccio visto nella realizzazione dell adder binario interno alla ALU si esegua il procedimento di sintesi del componente ADDER_5 4 che sommi operandi in base 4(es 3+3 = 12;

Dettagli

Prova d esame di Reti Logiche T 13 Luglio 2016

Prova d esame di Reti Logiche T 13 Luglio 2016 Prova d esame di Reti Logiche T 13 Luglio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequenziali sincrone ESERCIZIO N. Si esegua la sintesi di una rete sequenziale sincrona caratterizzata da un unico segnale di ingresso (X) e da un unico segnale di uscita (Z),

Dettagli

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica

Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata. Corso di Laurea in Ingegneria Elettronica Tutorato di Calcolatori Elettronici Battista Biggio - Sebastiano Pomata Corso di Laurea in Ingegneria Elettronica Mappe di Karnaugh Reti Logiche Latch e Flip-Flop Reti Sequenziali Tutorato di Calcolatori

Dettagli

Modelli per le macchine digitali

Modelli per le macchine digitali Reti sequenziali Modelli per le macchine digitali Ingressi Uscite i(t 0 ) i(t n ) MACCHINA DIGITALE u(t 0 ) u(t n ) TEMPO In generale l uscita di una macchina in un certo istante temporale dipenderà dalla

Dettagli

Reti Logiche T. Esercizi reti sequenziali asincrone

Reti Logiche T. Esercizi reti sequenziali asincrone Reti Logiche T Esercizi reti sequenziali asincrone ESERCIZIO N. 1 Una rete sequenziale asincrona è caratterizzata da due segnali d ingresso A e C e da un segnale di uscita Z. I segnali d ingresso non possono

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2005-2006 prof. Stefano CASELLI prof. William FORNACIARI I compitino del 11 novembre 2005 Bozza soluzioni del

Dettagli

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 11Settembre 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 29 Gennaio 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone

RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone RETI LOGICHE T Analisi, sintesi e composizione di Reti Sequenziali Sincrone Soluzione del campito scritto dell 8 settembre 204 7//204 tullio salmon cinotti Analisi di RSS Testo e quesiti - Prima parte

Dettagli

Esercizio 1. Sintesi ottima SP e NAND

Esercizio 1. Sintesi ottima SP e NAND Esercizio Sintesi ottima SP e NAND x x 0 x 00 3 x 2 00 0 0 0 0 0 0 0 x 4 = 0 X x 0 x 00 3 x 2 00 0 0 0 x 4 = U = x 4 x 2 + x 4 x 3 x + x 2 x x 0 + x 3 x x 0 + x 4 x 3 x 0 + x 3 x 2 x x 0 U nand = (x 4

Dettagli

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone

RETI LOGICHE T Ingegneria Informatica. Esercitazione 3 Reti Sequenziali Sincrone RETI LOGICHE T Ingegneria Informatica Esercitazione 3 Reti Sequenziali Sincrone Marco Lippi (marco.lippi3@unibo.it) [Lucidi realizzati da Samuele Salti] Esercizio Sintesi RSS Si vuole progettare una rete

Dettagli

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA.

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA. a Esercizio 1. Sintetizzare un circuito sequenziale sincrono in base alle specifiche temporali riportate nel seguito. Il circuito riceve in input solo il segnale di temporizzazione (CK) e produce tre uscite,

Dettagli

ESERCIZIO N. 1 - PAGINA 1

ESERCIZIO N. 1 - PAGINA 1 ESERCIZIO N. - PAGINA Si desidera progettare la macchina sequenziale asincrona M che genera il segnale ACK, avendo come ingressi i segnali R ed R2. Si assuma che i segnali R ed R2 non cambino mai contemporaneamente.

Dettagli

13/01/2005 COGNOME NOME MATRICOLA. SOLUZIONE ESERCIZIO N. 1 Pagina 1. Si consideri la rete sequenziale asincrona rappresentata in figura:

13/01/2005 COGNOME NOME MATRICOLA. SOLUZIONE ESERCIZIO N. 1 Pagina 1. Si consideri la rete sequenziale asincrona rappresentata in figura: SOLUION SRCIIO N. 1 Pagina 1 Si consideri la rete sequenziale asincrona rappresentata in figura: 0 1 0 1 2 3 4 z 5 6 7 C B A c b a DOMANDA N.1 (PUNTI 1) Si possono presentare problemi di corsa critica?

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI SEQUENZIALI : ESERCIZI Massimiliano Giacomin 1 Implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente il suo stato secondo una regola

Dettagli

Reti Logiche A Prova di giovedì 3 febbraio 2005

Reti Logiche A Prova di giovedì 3 febbraio 2005 olitecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Reti Logiche A rova di giovedì febbraio 005 Matricola Cognome Nome

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Reti Sequenziali Reti Logiche Prof. B. Buttarazzi A.A. 29/2 Reti Sequenziali Sommario Analisi di Reti Sequenziali Sintesi di Reti Sequenziali Esercizi 3/6/2 Corso di Reti Logiche 29/ 2 Analisi di Reti Sequenziali Passare

Dettagli

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 09 Gennaio 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

05EKL-Progetto di Circuiti Digitali. Richiami di Reti Logiche

05EKL-Progetto di Circuiti Digitali. Richiami di Reti Logiche 5EKL-Progetto di Circuiti Digitali Tutore: Federico Quaglio federico.quaglio@polito.it -564 44 (44) Richiami di Reti Logiche Tutoraggio # Sommario Richiami di algebra booleana Mappe di Karnaugh Coperture

Dettagli

x y z F x y z F

x y z F x y z F Esercitazione di Calcolatori Elettronici Prof. Fabio Roli Corso di Laurea in Ingegneria Elettronica Sommario Mappe di Karnaugh Analisi e sintesi di reti combinatorie Analisi e sintesi di reti sequenziali

Dettagli

Domande di Reti Logiche compito dell 11/1/2016

Domande di Reti Logiche compito dell 11/1/2016 Barrare una sola risposta per ogni domanda Il punteggio finale è -1 (n. di risposte errate + n. domande lasciate in bianco) Usare lo spazio bianco sul retro del foglio per appunti, se serve Se prendo un

Dettagli

COGNOME NOME COGNOME NOME

COGNOME NOME COGNOME NOME Esercitazione N. 15 Una rete sequenziale asincrona ha due ingressi x,y ed una uscita z. Gli ingressi non cambiano mai di valore contemporaneamente e non presentano mai entrambi il valore 1. L uscita può

Dettagli

Somma 3-bit. somma 3-bit con I/O sequenziale. somma 3-bit con I/O sequenziale. Osservazione

Somma 3-bit. somma 3-bit con I/O sequenziale. somma 3-bit con I/O sequenziale. Osservazione RETI COMBINATORIE In una rete combinatoria l uscita è funzione dei soli ingressi u = f () ADDIZIONATORE PARALLELO Addizionatore parallelo (a propagazione di riporto - ripple carry) per numeri binari di

Dettagli

Livello logico digitale

Livello logico digitale Livello logico digitale circuiti combinatori di base e circuiti sequenziali Half Adder - Semisommatore Ingresso 2 bit, uscita 2 bit A+ B= ------ C S C=AB S=AB + AB=A B A B In Out HA A B C S S HA A C S

Dettagli

05EKL-Progetto di Circuiti Digitali

05EKL-Progetto di Circuiti Digitali 5EKL-Progetto di Circuiti Digitali Tutore: Federico Quaglio federico.quaglio@polito.it -564 44 (44( 44) Introduzione alle Reti Logiche Sommario Richiami di algebra booleana Mappe di Karnaugh Coperture

Dettagli

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori...

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori... Indice Prefazione del Prof. Filippo Sorbello........................... VII Prefazione del Prof. Mauro Olivieri............................ Prefazione degli autori.........................................

Dettagli

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana

Algebra di Boole. Fondamenti di Informatica per Meccanici Energetici - Biomedici 1. Politecnico di Torino Ottobre Mr. Boole. Variabile booleana Fondamenti di Informatica per Meccanici Energetici - iomedici 1 Mr. oole lgebra di oole George oole: Matematico inglese del XIX secolo lgebra che descrive le leggi del pensiero Logica da cui è possibile

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Testo di riferimento: [Congiu] - 2.4 (pagg. 37 57) Reti Logiche Combinatorie 00.b Analisi Minimizzazione booleana Sintesi Rete logica combinatoria: definizione 2 Una rete logica combinatoria èuna rete

Dettagli

Tecniche di semplificazione. Circuiti digitali notevoli

Tecniche di semplificazione. Circuiti digitali notevoli Architettura degli Elaboratori e delle Reti Lezione 5 Tecniche di semplificazione Circuiti digitali notevoli F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano A.A.

Dettagli

RETI LOGICHE T Ingegneria Informatica. Esercitazione 2 Reti Sequenziali Asincrone

RETI LOGICHE T Ingegneria Informatica. Esercitazione 2 Reti Sequenziali Asincrone RETI LOGICHE T Ingegneria Informatica Esercitazione 2 Reti Sequenziali Asincrone Marco Lippi (marco.lippi3@unibo.it) [Parte dei lucidi sono stati realizzati da Samuele Salti] Esercizio Sintesi RSA Si vuole

Dettagli

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica

Tutorato di Calcolatori Elettronici. Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Tutorato di Ing. Roberto Casula Ing. Rita Delussu casula.roberto103@hotmail.it rita.delussu2016@gmail.com Corso di laurea in Ingegneria Biomedica Elettrica, Elettronica e Informatica Progettare un riconoscitore

Dettagli

Capitolo 6. Reti asincrone. 6.1 Elaborazione asincrona. Esigenze. 6.1 Elaborazione asincrona 6.2 Memorie binarie 6.3 Analisi e Sintesi

Capitolo 6. Reti asincrone. 6.1 Elaborazione asincrona. Esigenze. 6.1 Elaborazione asincrona 6.2 Memorie binarie 6.3 Analisi e Sintesi apitolo 6 Reti asincrone 6.1 Elaborazione asincrona 6.2 Memorie binarie 6.3 nalisi e Sintesi 6.1 Elaborazione asincrona Esigenze Problema - iscriminare e ricordare l ordine temporale con cui due o più

Dettagli

Prova d esame di Reti Logiche T 10 Giugno 2016

Prova d esame di Reti Logiche T 10 Giugno 2016 Prova d esame di Reti Logiche T 10 Giugno 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA:

Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA: Prova d esame di Reti Logiche T 12Giugno 2015 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici Lezione 11 -- 19/1/2012 Reti Logiche: esercizi sulle le reti combinatorie Emiliano Casalicchio emiliano.casalicchio@uniroma2.it Argomenti della lezione Reti combinatorie Decoder,

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Capitolo 6. Reti asincrone. 6.1 Struttura, comportamento e corretto impiego. Reti sequenziali asincrone (struttura)

Capitolo 6. Reti asincrone. 6.1 Struttura, comportamento e corretto impiego. Reti sequenziali asincrone (struttura) apitolo 6 Reti asincrone 6.1 Struttura, comportamento e corretto impiego 6.2 Memorie binarie 6.3 Analisi e Sintesi 6.1 Struttura, comportamento e corretto impiego ingresso stato presente Reti sequenziali

Dettagli

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019

UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019 UNIVERSITÀ DEGLI STUDI DI FIRENZE CORSO DI LAUREA IN INFORMATICA Corso di Architettura degli Elaboratori Esercitazione del 25/01/2019 Esercizio 1 Si realizzi un automa di Mealy che controlla il funzionamento

Dettagli

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo.

NOME e COGNOME (stampatello): Compito A. Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. NOME e COGNOME (stampatello): Compito A Esercizio 1 (8 punti) Minimizzare l automa in tabella e disegnare l automa minimo. 0 1 S1 S7/01 S2/11 S2 S2/10 S3/11 S3 S0 S2/01 S4 S0 S5/01 S5 S6/10 S4/11 S6 S5/10

Dettagli

Mux X I 7..0 O 3 S 2..0 X 1 X 2

Mux X I 7..0 O 3 S 2..0 X 1 X 2 pprofondimento multiplexer Mi serve un multiplexer a 8 vie, ma dispongo solo di molti multiplexer a 2 vie X 0 X 1 X 2 X 3 X 4 X 5 X 6 X 7 S 0 S 0 S 0 S 0 S 0 =0 per indici pari ed 1 per indici dispari

Dettagli

DOMANDA N. 1 Quale frequenza del clock minimizza il numero di stati della rete sequenziale sincrona?

DOMANDA N. 1 Quale frequenza del clock minimizza il numero di stati della rete sequenziale sincrona? Esercitazione N. 25 Una macchina per la produzione di bevande calde riceve da una rete sequenziale sincrona i segnali binari Z1,Z2,Z3,Z4 ed esegue in corrispondenza una delle seguenti azioni elementari:

Dettagli

COMPITO A. Esercizio 1 (17 punti)

COMPITO A. Esercizio 1 (17 punti) Esercizio (7 punti) COMPITO A Si hanno a disposizione due registri sorgente S e S da 6 bit che contengono reali memorizzati in rappresentazione a virgola mobile normalizzata : il primo bit (b ) rappresenta

Dettagli

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini

Appello di Progettazione di Sistemi Digitali 16 Settembre Docenti: Proff. Gorla e Massini Appello di Progettazione di Sistemi Digitali 16 Settembre 2013 - Docenti: Proff. Gorla e Massini Esercizio 1 (3 punti): Convertire in base 4 con rappresentazione in virgola fissa il numero decimale 214,1362

Dettagli

Esercizio 1 Domanda 1

Esercizio 1 Domanda 1 Esercizio 1 Domanda 1 R, P D 0, 1 0 0, 0 0 0, 0 0 A B C 1, 0 0 1, 0 1 F 0, 0 1 1, 0 0 E 0, 1 1 D -, 0 1 Esercizio 1 Domanda 2 S n R=0 R=1 A A, 10 -,- B C, 00 A, 00 C A, 00 D, 01 D E, 11 -,- E F, 01 A,

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2007-2008 prof. Stefano CASELLI prof. William FORNACIARI Appello dell 11 Febbraio 2008 Bozza soluzioni del

Dettagli

Esercizio 4.3. Esercizio 4.4

Esercizio 4.3. Esercizio 4.4 4 Esercizio 4.3 La rete di Figura 4.1 del testo è un latch realizzato con porte NOR. Sostituendo le porte NOR con porte NAND si ottiene la rete di Figura 4.1. Figura 4.1 Rete dell Esercizio 4.3. Nella

Dettagli

Esercizi sulle Reti Sequenziali Sincronizzate

Esercizi sulle Reti Sequenziali Sincronizzate Esercizi sulle Reti Sequenziali Sincronizzate Corso di Laurea di Ing. Gestionale e di Ing. delle Telecomunicazioni A.A. 27-28 1. Disegnare il grafo di stato di una RSS di Moore avente tre ingressi A, B,

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI LOGICHE: RETI SEQUENZIALI Massimiliano Giacomin 1 LIMITI DELLE RETI COMBINATORIE Nelle reti combinatorie le uscite dipendono solo dall ingresso Þ impossibile far dipendere

Dettagli

Esercizio 1. L uscita può cambiare valore solo ai fronti di discesa di A e C, evidenziati nel grafico.

Esercizio 1. L uscita può cambiare valore solo ai fronti di discesa di A e C, evidenziati nel grafico. Esercizio 1 C A Z L uscita può cambiare valore solo ai fronti di discesa di A e C, evidenziati nel grafico. Esercizio 1 AC 00 01 10 α,1 10 00 11 10 γ,0 01 11 01 11 β,1 Partiamo con la situazione iniziale

Dettagli

Componenti di un sistema digitale

Componenti di un sistema digitale Componenti di un sistema digitale Il Multiplexer 2x a b Dispositivo che permette di selezionare uno degli n ingressi e presentarlo in uscita Con n linee di ingresso un multiplexer richiede un numero di

Dettagli

Sintesi di Reti sequenziali Sincrone

Sintesi di Reti sequenziali Sincrone Sintesi di Reti sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Una macchina sequenziale è definita dalla quintupla I è l insieme finito dei simboli d ingresso U è l insieme finito dei simboli

Dettagli

Algebra e circuiti elettronici

Algebra e circuiti elettronici Algebra e circuiti elettronici I computer operano con segnali elettrici con valori di potenziale discreti Sono considerati significativi soltanto due potenziali (high/ low); i potenziali intermedi, che

Dettagli

Flip-flop, registri, la macchina a stati finiti

Flip-flop, registri, la macchina a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Flip-flop, registri, la macchina a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di

Dettagli

Ia Prova finale MATRICOLA COGNOME NOME 29/06/2006 ESERCIZIO 1, pagina 1

Ia Prova finale MATRICOLA COGNOME NOME 29/06/2006 ESERCIZIO 1, pagina 1 Ia Prova finale MATRICOLA COGNOME NOME 29/06/2006 ESERCIZIO 1, pagina 1 Il Controllo di un cancello elettrico a scomparsa è affidato ad una macchina sequenziale asincrona con tre segnali d ingresso (S,

Dettagli

Università di Roma La Sapienza, Facoltà di Ingegneria Laurea Specialistica in Ingegneria Informatica, a.a Reti Logiche

Università di Roma La Sapienza, Facoltà di Ingegneria Laurea Specialistica in Ingegneria Informatica, a.a Reti Logiche Università di Roma La Sapienza, Facoltà di Ingegneria Laurea Specialistica in Ingegneria Informatica, a.a. 267 Reti Logiche Appellodel25ottobre27 Secondeprove (Rev. 2, 272) (D2) La derivata di una funzione

Dettagli

Problema 1. In un sistema di comunicazione digitale vengono trasferiti messaggi costituiti da al più N max. ) con un ulteriore bit (b k

Problema 1. In un sistema di comunicazione digitale vengono trasferiti messaggi costituiti da al più N max. ) con un ulteriore bit (b k Problema In un sistema di comunicazione digitale vengono trasferiti messaggi costituiti da al più N max simboli, ciascuno rappresentato mediante k bit. Poiché in tali messaggi intervengono sovente stringhe

Dettagli

Macchine Sequenziali

Macchine Sequenziali Macchine Sequenziali Corso di Calcolatori Elettronici A 2007/2008 Sito Web:http://prometeo.ing.unibs.it/quarella Prof. G. Quarella prof@quarella.net Limiti delle reti combinatorie Ogni funzione di n variabili

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Reti Logiche A - Prova di mercoledì 16 febbraio 2005 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof.ssa Cristiana Bolchini prof. Fabrizio Ferrandi Esercizio n. 1 Data la seguente espressione logica: ((c+a ) +c+a

Dettagli

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A

UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A UNIVERSITÀ DEGLI STUDI DI PARMA FACOLTÀ DI INGEGNERIA Corso di Reti Logiche A anno accademico 2006-2007 prof. Stefano CASELLI prof. William FORNACIARI Prova di recupero del 21 dicembre 2006 ozza soluzioni

Dettagli

Microelettronica Corso introduttivo di progettazione di sistemi embedded

Microelettronica Corso introduttivo di progettazione di sistemi embedded Microelettronica Corso introduttivo di progettazione di sistemi embedded Richiami di elettronica digitale per i sistemi a microprocessore Dentro la CPU: registri e macchine sequenziali prof. Stefano Salvatori

Dettagli

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali Porte logiche di base Cenni circuiti, reti combinatorie, reti sequenziali NOT AND A R A B R OR A R B Quindi NAND o NOR sono complete circuiti con solo porte NAND o solo porte NOR. Reti combinatorie Rete

Dettagli

Capitolo 6. Reti asincrone. 6.1 Elaborazione asincrona. Reti sequenziali asincrone (struttura) Reti sequenziali asincrone (comportamento)

Capitolo 6. Reti asincrone. 6.1 Elaborazione asincrona. Reti sequenziali asincrone (struttura) Reti sequenziali asincrone (comportamento) apitolo 6 Reti asincrone 6. Elaborazione asincrona 6.2 Memorie binarie 6.3 nalisi e Sintesi 6. Elaborazione asincrona Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1 Reti combinatorie Reti sequenziali Sommatore Sottrattore Generatore di sequenze Riconoscitore di sequenze PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà eseguire, in un

Dettagli

Il Livello Logico-Digitale. Blocchi funzionali combinatori

Il Livello Logico-Digitale. Blocchi funzionali combinatori Il Livello Logico-Digitale Blocchi funzionali combinatori 21-10-2015 Blocchi funzionali combinatori Esiste una ben nota e ormai stabilizzata libreria di blocchi funzionali predefiniti di tipo combinatorio

Dettagli

Automi a stati finiti

Automi a stati finiti 1. Automi a stati finiti: introduzione Automi a stati finiti Supponiamo di avere un sistema che si può trovare in uno stato appartenente ad un insieme finito di stati possibili. Ex: Immaginiamo un incrocio

Dettagli

Esercizio 4.3. Esercizio 4.4

Esercizio 4.3. Esercizio 4.4 4 Esercizio 4.3 La rete di Figura 4.1 del testo è un latch realizzato con porte NOR. Sostituendo le porte NOR con porte NAND si ottiene la rete di Figura 4.1. Figura 4.1 Rete dell Esercizio 4.3. Nella

Dettagli

Tutorato architettura degli elaboratori modulo I (lezione 3)

Tutorato architettura degli elaboratori modulo I (lezione 3) Tutorato architettura degli elaboratori modulo I (lezione 3) Moretto Tommaso 03 November 2017 1 Algebra di Boole L aritmetica binaria è stata adottata perché i bit sono rappresentabili naturalmente tramite

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali

Fondamenti di informatica II 1. Sintesi di reti logiche sequenziali Titolo lezione Fondamenti di informatica II 1 Sintesi di reti logiche sequenziali Reti combinatorie e sequenziali Fondamenti di informatica II 2 Due sono le tipologie di reti logiche che studiamo Reti

Dettagli

a) Si scriva la tabella ingressi-uscite e per ogni mintermine individuato si scriva la forma algebrica corrispondente:

a) Si scriva la tabella ingressi-uscite e per ogni mintermine individuato si scriva la forma algebrica corrispondente: ARCHITETTURA DEI CALCOLATORI E SISTEMI OPERATIVI - ESERCIZI DI LOGICA. 30 OTTOBRE 2015 ESERCIZIO N. 1 LOGICA COMBINATORIA Si progetti in prima forma canonica (SoP) una rete combinatoria avente 4 ingressi

Dettagli

Reti Sequenziali. Reti Sequenziali. Corso di Architetture degli Elaboratori

Reti Sequenziali. Reti Sequenziali. Corso di Architetture degli Elaboratori Reti Sequenziali Reti Sequenziali Corso di Architetture degli Elaboratori Caratteristiche 1 Caratteristiche delle reti sequenziali Reti combinatorie: il valore in uscita è funzione (con il ritardo indotto

Dettagli

Tutorato architettura degli elaboratori modulo I (lezione 4)

Tutorato architettura degli elaboratori modulo I (lezione 4) Tutorato architettura degli elaboratori modulo I (lezione 4) Moretto Tommaso 7 December 27 Automa di Moore Un automa di Moore può essere definito come una quintupla (S, Σ, G,, T) costituita da: un insieme

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Capitolo 6. Reti asincrone. 6.1 Elaborazione asincrona. Reti sequenziali asincrone (struttura) Reti sequenziali asincrone (comportamento)

Capitolo 6. Reti asincrone. 6.1 Elaborazione asincrona. Reti sequenziali asincrone (struttura) Reti sequenziali asincrone (comportamento) apitolo 6 Reti asincrone 6. Elaborazione asincrona 6.2 Memorie binarie 6.3 nalisi e Sintesi 6. Elaborazione asincrona Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso

Dettagli

Dalla tabella alla funzione canonica

Dalla tabella alla funzione canonica Dalla tabella alla funzione canonica La funzione canonica è la funzione logica associata alla tabella di verità del circuito che si vuole progettare. Essa è costituita da una somma di MinTerm con variabili

Dettagli

Circuiti sequenziali: macchine a stati finiti

Circuiti sequenziali: macchine a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Circuiti sequenziali: macchine a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di Milano

Dettagli

ESAME DI ARCHITETTURA degli ELABORATORI I. Martedì 29 Gennaio 2002, ore 14 FILA A

ESAME DI ARCHITETTURA degli ELABORATORI I. Martedì 29 Gennaio 2002, ore 14 FILA A ESAME DI ARCHITETTURA degli ELABORATORI I Martedì 29 Gennaio 22, ore 4 Nota: orale e/o consegna prova CIRCUIT-MAKER (solo canale Velardi) mercoledì 6 febbraio aula alfa (via Salaria ) ore. FILA A Esercizio

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Reti con reazione e memoria Le funzioni logiche e le relative reti di implementazione visto fino ad ora sono note come reti combinatorie Le reti combinatorie non

Dettagli

Alee in macchine combinatorie

Alee in macchine combinatorie Corso di Calcolatori Elettronici I A.A. 2010-2011 Alee in macchine combinatorie Lezione 12 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Le Alee La presenza di ritardi nei dispositivi

Dettagli

Domande di Reti Logiche compito del 08/01/2019

Domande di Reti Logiche compito del 08/01/2019 NUOVO PROGRAMMA (a.a. 2018/19) MOV 0x00, 0xFF L istruzione scritta sopra: Copia la costante 0x00 (su 8 bit) nella cella di memoria di indirizzo 0xFF Copia il contenuto della cella di memoria di indirizzo

Dettagli

ESAME DI ARCHITETTURA I COMPITO A

ESAME DI ARCHITETTURA I COMPITO A ESAME DI ARCHITETTURA I COMPITO A Esercizio (6 punti) Si consideri l automa di Mealy specificato dalla seguente tabella: S S/ S S S2/ S3/ S2 S2/ S3/ S3 S/ S/ S4 S/ S S5 S2/ S3/ ) Disegnare l automa. 2)

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 Prof. Rosario Cerbone rosario.cerbone@libero.it a.a. 2005-2006 http://digilander.libero.it/rosario.cerbone Sintesi di Reti Sequenziali Sincrone In

Dettagli

Calcolatori Elettronici Prof. Ing. Fabio Roli

Calcolatori Elettronici Prof. Ing. Fabio Roli Calcolatori Elettronici Prof. Ing. Fabio Roli Corso di Laurea in Ingegneria Elettronica Capitolo 2 Reti Logiche Fonti principali: Appunti del Docente; Stallings, W., "Architettura e organizzazione dei

Dettagli

Elementi di Architettura e Sistemi Operativi. problema punti massimi i tuoi punti problema 1 10 problema 2 5 problema 3 5 problema 4 10 totale 30

Elementi di Architettura e Sistemi Operativi. problema punti massimi i tuoi punti problema 1 10 problema 2 5 problema 3 5 problema 4 10 totale 30 Elementi di Architettura e Sistemi Operativi Bioinformatica - Tiziano Villa 16 Febbraio 2018 Nome e Cognome: Matricola: Posta elettronica: problema punti massimi i tuoi punti problema 1 10 problema 2 5

Dettagli

Domande di Reti Logiche compito del 29/01/2019

Domande di Reti Logiche compito del 29/01/2019 CMP %EAX, %EBX JB dopo Il codice scritto sopra salta all etichetta dopo se: EBX

Dettagli

Esercizio , (+61,81) CA2: , = , (-61,81)

Esercizio , (+61,81) CA2: , = , (-61,81) Compito A Es. : Esprimi in complemento a due il numero decimale - 6,8 arrestandosi al 6 bit dopo la virgola. Esprimi lo stesso numero normalizzato in virgola mobile. Quanti bit sono necessari complessivamente

Dettagli

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. 27 Febbraio 2001

SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI. 27 Febbraio 2001 SOLUZIONI DELLA PROVA SCRITTA DEL CORSO DI 27 Febbraio 200 MOTIVARE IN MANIERA CHIARA LE SOLUZIONI PROPOSTE A CIASCUNO DEGLI ESERCIZI SVOLTI ESERCIZIO (VO: 7 punti - NO: 8 punti) Si consideri la rete combinatoria

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli