ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL. Torino, 16/05/2005

Documenti analoghi
---- registro con reset e enable library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.

Introduzione al VHDL. Alcuni concetti introduttivi

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr;

Introduzione al VHDL. Alcuni concetti introduttivi

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

Terza esercitazione. Progetto di una rete di controllo. Obiettivi Progetto e analisi di macchine a stati finiti. a.a

Elettronica delle TLC II Esercitazione I

Seconda esercitazione

Prima esercitazione. a.a

Note su VHDL. 22 gennaio 2004

Sequential Processing

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

VHDL - Introduzione. //

Reti Logiche A. Introduzione al VHDL

Descrizioni VHDL Behavioral

14/11/2012. Il VHDL 3 parte. Tipi. Tipi scalari INTEGER; REAL; Tipo Fisici; BOOLEAN; CHARCTER; BIT ENUMERATO; Tipo composto ARRAY RECORD FILE ACCESS

Reti Logiche A Esame del 13 settembre 2007

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Architetture e reti logiche Esercitazioni VHDL a.a. 2006/07. UsodelVHDL. Stefano Ferrari

Architetture e reti logiche. Esercitazioni VHDL. a.a. 2005/06. Uso del VHDL. Stefano Ferrari

Elettronica delle Telecomunicazioni II - Esercitazione 1. Interfaccia di convertitori A/D e A/D con logica programmabile

$ % 16 dicembre Giovanni Beltrame 2

Flusso di progetto circuiti digitali. Circuiti Integrati Digitali. Il Design Productivity Gap. Tecnologia Standard Cells

VHDL come strumento di progetto di circuiti digitali

Architetture e Reti logiche. Esercitazioni VHDL. a.a. 2003/04 VHDL. Stefano Ferrari

Riassunto tecnica digitale

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Introduzione al VHDL Lezione 3

VHDL. Il linguaggio FOCUS

Introduzione alla sintesi comportamentale

Quarta esercitazione

Descrizione di macchine a stati tramite VHDL

Calcolatori Elettronici M Modulo Linguaggio VHDL Parte 2/2

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

Reti Logiche A. FSM in VHDL

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore)

Nonostante l avvento delle più moderne

Reti Logiche A Esame del 19 febbraio 2007

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

MPHS AA FSM in VHDL

Reti Logiche A II Prova - 2 marzo 2009

Progetto finale di reti logiche

Compito di linguaggi di descrizione dell hardware

Laboratorio di Sistemi Digitali M A.A. 2010/11

Reti Logiche A II Prova - 11 febbraio 2008

Corso di Reti Logiche A

Calcolatori Elettronici M Modulo Mapping di un progetto VHDL su FPGA

Reti Logiche A Appello del 24 febbraio 2010

Un linguaggio per la descrizione dello hardware: il VHDL

Si progetti, utilizzando il linguaggio VHDL, una rete

Elettronica per le telecomunicazioni 21/05/2004

Christian Pilato

Sintassi. Le varie espressioni sintattiche scritte in VHDL si possono ricondurre ai seguenti oggetti: Scalari e Vettori Nomi Oggetti: Espressioni

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language

Calcolatori Elettronici

Un linguaggio per la descrizione dello hardware: il VHDL

Introduzione al VHDL Lezione 2

VHDL: Simulazione & Sintesi

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Pong Porting. Autori: Alloni Fabio Alni Matteo Castiglioni William. Data: 23/3/2005. Versione: 1.2. Stato:Draft. Revisore: Marco D.

Addizione tra numeri binari

Complementi ed Esercizi di Reti Logiche in VHDL

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Progetto di una Slot Machine da sala scommesse implementata in VHDL e C# Andrea Manganaro Elio Romanelli

Reti Logiche A II Prova - 1 febbraio 2010

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

La libreria STD La libreria MODELSIM_LIB Il package TEXTIO Struttura dei testbench. La libreria standard IEEE è composta 6 package

esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

UART TX... VERSIONE CORRETTA!

Calcolatori Elettronici M Modulo Linguaggio VHDL Parte 1/2

orologio e display cont 16

ISTITUTO NAZIONALE DI FISICA NUCLEARE

Reti Logiche Appello del 1 marzo 2011

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

Calcolatori Elettronici Anno Accademico 2001/2002. Introduzione al VHDL. Sommario. Introduzione Struttura di un modello VHDL: Concetti base del VHDL:

Semicustom Design Flow VHDL HDL. VHDL: Applicazioni. Stili di Descrizione Hardware. Elettronica dei Sistemi Digitali L-A

Descrizione VHDL di componenti combinatori

Tipi di segnali (logici) predefiniti. Rappresentazione dei segnali in VHDL. Tipo bit (definito nel package standard)

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 14/7/2015

CAPITOLO 6: STILI DI CODIFICA VHDL PER LOGICHE PROGRAMMABILI

Metodologie di Progettazione Hardware-Software

Università degli Studi di Milano

Università degli Studi di Milano

Università degli Studi di Milano

ARCHITETTURA DEI SISTEMI DI ELABORAZIONE

Elaborato di Architettura dei Sistemi Integrati

Università Federico II - Napoli - A.A. 2011/2012 Corso di Laurea in Ingegneria Elettronica

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 11/7/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015

1. Introduzione. Il VHDL è un linguaggio per la descrizione dell hardware (un Hardware Description Language), che può essere utilizzato per la

Microprocessore a 16 bit in tecnologia CMOS 45nm

Obbiettivi del Modulo

Linguaggi di descrizione dell hardware Progetti a.a. 2016/17

Reti Logiche A - Prova di mercoledì 16 febbraio 2005

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management

Transcript:

Mauro Caule mat. 118381 Fabrizio Castellano mat. 122390 Luigi Fasano mat. 120730 ELETTRONICA DELLE TELECOMUNICAZIONI II ESERCITAZIONE DI LABORATORIO N.2 CODICE VHDL Torino, 16/05/2005 ENTITY sipo IS data_in: IN END sipo ; STD_LOGIC; clock_ad : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR(7 downto 0 reset : IN STD_LOGIC; clock_out : IN STD_LOGIC ARCHITECTURE behavioral OF sipo IS SIGNAL temp : STD_LOGIC_VECTOR(7 downto 0 process (clock_out) if clock_out= '1' and clock_out'event then data_out<=temp; process (clock_ad, reset) if reset= '0' then temp <= (others=> '0' data_out <= (others=> '0' elsif clock_ad = '1' and clock_ad'event then temp(0) <= data_in; for i in 1 to 7 loop temp(i) <= temp(i-1 end loop; END behavioral;

ENTITY div_freq IS clk_in : IN STD_LOGIC; clk_out : OUT STD_LOGIC; cs_out : OUT STD_LOGIC END div_freq ; -- Library declaration ARCHITECTURE behavioral OF div_freq IS PROCESS(clk_in) CONSTANT N : integer := 50; VARIABLE cnt : integer range 0 to N; VARIABLE cnt2 : integer range 0 to 16*N; VARIABLE status : std_logic := '0'; VARIABLE clk_cs : std_logic := '0'; cs_out <= clk_cs; clk_out <= status; if (clk_in'event and clk_in = '1') then cnt2:=cnt2+1; cnt:=cnt+1; if (cnt=n) then cnt:=0; if (status='0') then status:='1'; else status:='0'; if (cnt2=n*16) then cnt2:=0; if (clk_cs='0') then clk_cs:='1'; else clk_cs:='0'; END behavioral;

use ieee.std_logic_unsigned.all; ENTITY fwrectifier IS --clk : IN STD_LOGIC; --clock fw_hw : IN STD_LOGIC; -- 1= full wave, 0 half wave data_in : IN STD_LOGIC_VECTOR(7 downto 0 -- ingresso data_out : OUT STD_LOGIC_VECTOR(7 downto 0 --uscita level: IN STD_LOGIC_VECTOR(7 downto 0) -- livello centrale END fwrectifier; ARCHITECTURE struct OF fwrectifier IS signal s_out : STD_LOGIC_VECTOR(7 downto 0 process(data_in,level) if data_in > level then s_out <= data_in; elsif fw_hw = '0' then s_out <= level; else s_out <= level + level - data_in; data_out <= s_out; END struct;

use ieee.std_logic_unsigned.all; ENTITY volt_dig IS clk_io : IN STD_LOGIC; data_adc : IN STD_LOGIC; cs : OUT STD_LOGIC; io_clock : OUT STD_LOGIC; data1 : OUT STD_LOGIC_VECTOR(7 downto 0 data2 : OUT STD_LOGIC_VECTOR(7 downto 0 rst : IN STD_LOGIC; rect_in: IN std_logic END volt_dig ; ARCHITECTURE struct OF volt_dig IS signal t_osc : std_logic; signal t_data : std_logic; signal t_cs : std_logic; signal t_clk_ad : std_logic; signal t_data_out: STD_LOGIC_VECTOR(7 downto 0 signal t_sipo_out: STD_LOGIC_VECTOR(7 downto 0 signal t_reset: std_logic; signal t_1: std_logic; signal t_128: std_logic_vector(7 downto 0 -- Component declarations COMPONENT div_freq clk_in : IN STD_LOGIC; clk_out : OUT STD_LOGIC; cs_out : OUT STD_LOGIC END COMPONENT; COMPONENT sipo data_in: IN STD_LOGIC; clock_ad : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR(7 downto 0 reset : IN STD_LOGIC; clock_out : IN STD_LOGIC

END COMPONENT; COMPONENT fwrectifier --clk : IN STD_LOGIC; --clock fw_hw : IN STD_LOGIC; -- 1= full wave, 0 half wave data_in : IN STD_LOGIC_VECTOR(7 downto 0 -- ingresso data_out : OUT STD_LOGIC_VECTOR(7 downto 0 --uscita level: IN STD_LOGIC_VECTOR(7 downto 0) -- livello centrale END COMPONENT; t_1 <= '1'; t_128 <= "10000000"; t_reset <= '1'; t_osc <= clk_io; t_data <= data_adc; --t_reset <= rst; data1 <= t_data_out; data2 <= t_data_out; -- uscite -- uscite -- Component instantiation freq_div0:div_freq PORT MAP ( clk_in => t_osc, clk_out => t_clk_ad, cs_out => t_cs si_po:sipo PORT MAP ( data_in => t_data, clock_ad => t_clk_ad, data_out => t_sipo_out, reset => t_reset, clock_out => t_cs rect:fwrectifier PORT MAP( --clk => t_cs, --clock fw_hw => rect_in, -- 1= full wave, 0 half wave data_in => t_sipo_out,-- ingresso data_out => t_data_out, --uscita level => t_128 -- livello centrale

cs <= t_cs; io_clock <= t_clk_ad; END struct;