PIASTRA PER IL CABLAGGIO SPERIMENTALE E LA VERIFICA DI CIRCUITI DIGITALI

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "PIASTRA PER IL CABLAGGIO SPERIMENTALE E LA VERIFICA DI CIRCUITI DIGITALI"

Transcript

1 IASA E IL ABLAGGIO SEIMENALE E LA EIFIA DI IUII DIGIALI

2 . - Descrizione generale La piastra per il cablaggio sperimentale e la veriica di circuiti digitali consta di: a. Doppia piastra di bread-board per il cablaggio dei circuiti digitali; b. Due interruttori antirimbalzo con diodi LED che consentono di visualizzare lo stato logico degli interruttori (ig. ); c. Otto interruttori con diodi LED che consentono di visualizzare lo stato logico degli interruttori (ig. ); d. Generatore di clock con requenza regolabile da 0,Hz a circa KHz (ig. ); e. Doppio visualizzatore a display a LED a 7 segmenti che accetta in ingresso il codice binario e consente una uscita esadecimale (ig. );. isualizzatore di stato logico con sedici diodi LED (ig. 5). Fig. Fig. Fig. Fig. Fig. 5 Il circuito da veriicare viene assemblato sulla piastra di bread-board, che deve essere preventivamente collegata alla tensione di alimentazione. I circuiti di comando sono nella parte ineriore della piastra, quelli di rilevazione sono nella parte superiore.

3

4 6 diodi LED per visualizzare lo stato logico delle uscite del circuito sperimentale in esame Due visualizzatori a display a LED a sette segmenti interruttori antirimbalzo 8 interruttori con visualizzazione di stato logico a diodo LED Generatore di clock con requenze regolabili da 0,HZ a,7khz

5 . Generatore di clock.. orte a trigger di Schmitt Le porte a trigger di Schmitt, o con isteresi, hanno due soglie di commutazione distinte, Si esaa il caso di un inverter a trigger di Schmitt. o L e H. i o h L H i - Se i < L l ingresso viene riconosciuto sicuramente basso O - Se i > H l ingresso viene riconosciuto sicuramente alto O - Se L < i < H è riconosciuto lo stato precedente d ingresso l uscita rimane nello stato precedente La quantità h H L viene detta ampiezza dell isteresi. Le porte utilizzate per il generatore di clock sono le porte L 7LS, integrato che contiene due porte NAND a quattro ingressi a trigger di Schmitt. er esse si ha: L 0,8 e H,6 D N B A Y 0 8 7LS A B N D Y GND.. Astabile con porte a trigger di Schmitt (7LS) Nella conigurazione di igura, l uscita O dipende solo dallo stato dell ingresso pin ; se tale ingresso è riconosciuto basso O, se è riconosciuto alto O. Nell istante in cui si alimenta il circuito ( t t O ), la capacità è scarica e l ingresso risulta a potenziale di massa (livello d ingresso basso): l uscita si porta a livello alto. La capacità inizia a caricarsi, con costante di tempo, verso la tensione.

6 v o (t), v c (t) ½ 7LS O H L t t t t 0 H L t Quando la tensione uguaglia la tensione commuta dallo stato alto ) ( allo stato basso ) H l ingresso viene riconosciuto alto, l uscita e la capacità, con la stessa costante di tempo, ( partendo dalla tensione H, inizia a scaricarsi tendendo al valore. Quando, scaricandosi la capacità, la tensione uguaglia il valore riconosciuto basso, l uscita commuta dallo stato basso ) partendo dalla tensione L (, inizia a caricarsi verso ( ). Quando, caricandosi la capacità, la tensione uguaglia il valore riconosciuto alto, l uscita commuta dallo stato alto ) ( L, l ingresso viene allo stato alto ) e la capacità, ( allo stato basso ) H l ingresso viene e la capacità, con la stessa costante di tempo, partendo dalla tensione H, si carica verso il valore, e il ciclo si ripete. In uscita si ha un onda rettangolare di periodo H +. - alcolo di H Equazione di carica della capacità con t 0 e : L ( (t) + ( i )e tt + ( L ) e t Al tempo t t la tensione raggiunge il valore H, l uscita commuta da a t t t e imponendo che interrompendo la carica della capacità. enendo conto che H (t ) H, si calcola il semiperiodo H : (t t H ) + ( )e + ( )e L L H ( L )e H H e H H L H ln H L H ln L H

7 - alcolo di L Equazione di carica della capacità con : (t) + ( i )e t t tt + (H ) e Al tempo t t la tensione raggiunge il valore L, l uscita commuta da a t t e imponendo che interrompendo la carica della capacità. enendo conto che L (t ) L, si calcola il semiperiodo L : H t t H L (t ) + ( )e + ( )e L ( H )e L L e L L H L ln L H L ln H L Imponendo 0,,8, L 0,8, H,6, si ha: ln L H,8 0,8 ln,8,6 0,5 H,6 0 ; ln ln 0, 6 0,8 0 L H 0, 5 ; L 0, 6 ; + 0,5 + 0,6, olendo ottenere un segnale di clock con periodi uguali, si può utilizzare, sull uscita dell astabile, un ilp-lop JK come divisore di requenza. Se si utilizza il FFJKE 7LS76, l uscita commuterà ogni qual volta sull ingresso di clock è presente un ronte di discesa. H L lock asimmetrico Divisore di requenza FFJK OA KA OA lock asimmetrico 6 J 6 K ½ 776 l Q 5 lock simmetrico OS OS t KS KA / t

8 Se si vuole una requenza di clock K, bisogna dimensionare l astabile per una requenza K. olendo un segnale di clock con possibilità di regolazione della requenza, si può rendere variabile la resistenza del ramo di retroazione, mettendo in serie alla resistenza un potenziometro. La soluzione scelta consente di avere un intervallo di variazione limitato e un valore del potenziometro non troppo basso: con K 0 ; K 0 cursore in B ; K cursore in A K // K + K 0 + per per K 0 K A B 0 8 O ½ 7LS 7 La costante di tempo è ( + // ) e assume i valori estremi: per K 0, cursore in A - ( + ) per K, cursore in B - Al corrisponde la massima requenza dell intervallo di variazione, al corrisponde la ima requenza dell intervallo di variazione. È anche possibile cambiare l intervallo cambiando la capacità inserita. Il circuito completo è riportato nella pagina seguente. La seconda NAND del 7LS viene utilizzata per eliare dal segnale ogni eetto residuo dei transitori di carica e di scarica della capacità. Il secondo FFJK del 7LS76 viene utilizzato come divisore di requenza in modo da avere un uscita addizionale con requenza dimezzata rispetto alla prima.

9 A B Output clock K 0 I 8 ½ 7LS 5 I 6 5 J K l I Q 5 6 J K 7 8 l Q I Output clock K / 7 ½ 7LS ½ 7LS76 ½ 7LS76 70Ω 70µ F 0,7µ F I 7LS,7KΩ 7µ F 0,µ F I 7LS76 KΩ,7µ F

10 .. - Deinizione dei componenti Si vuole, con 70µ F, un intervallo di requenze 0,Hz Hz 0,HZ HZ 0,HZ HZ 0,Hz Hz,5s 0,5s,,5,,,08s con ( + ), 0,5,, 0,08s con Si calcola il valore di : Si calcolano i valori di e 0,08 Ω 70Ω :,08 + ) 70,6KΩ 70 0 ( > deve risultare > 0 onendo KΩ, si ha:,6 0 0,8KΩ,7KΩ 0,6 0,7 0 0,87KΩ valore più che accettabile. +, on i valori utilizzati ( 70Ω,,7KΩ, KΩ ), si ha: 70Ω ; ,87 0,KΩ ;,87KΩ Intervallo di requenze che si coprono con la capacità 70µ F:

11 ,s, 0,65s 0,65,77Hz ( + ), ,0s,,8s,8 0,08Hz 0,08,77 0,0Hz ;,885Hz ; 0,0Hz,885Hz 0,0,885 0,0Hz ; 0,Hz ; 0,0Hz 0,Hz Se si cambia la capacità con una il cui valore sta con quello della capacità in un rapporto di 0, tutti i valori in cui compare la capacità varieranno di un valore 0, e gli intervalli delle requenze incrementeranno di 0 volte. rendendo valori delle capacità che stanno tra loro in un rapporto di 0, si ha: , µ F ;,7µ F ; 0,7µ F Intervallo di requenze che si coprono con la capacità 7µ F : ,ms, 6,5ms 6,5 0 7,7Hz ( + ), ,0s, 0,8s 0,8,08Hz,08 7,7,0Hz ; 8,85Hz ;,0Hz 8,85Hz,0 8,85,0Hz ;,Hz ;,0Hz,Hz Intervallo di requenze che si coprono con la capacità,7µ F : 70,7 0 6,ms,,65ms, Hz

12 ( + ), 0, ,ms,,8ms,8 0 0,8Hz 0,8 77 0,Hz ; 88,5Hz ; 0,Hz 88,5Hz 0, 88,5 0,Hz ;,Hz ; 0,Hz,Hz Intervallo di requenze che si coprono con la capacità 0,7µ F: 70 0, ,ms, 0,65ms 0,65 0,77KHz ( + ), 0 0,7 0 6,0ms,,8ms,8 0 08Hz 08,77 0 0Hz ;,885KHz ; 0Hz 0 88,5 0Hz ; Hz ; 0Hz Hz iassumendo, le portate teoriche sono: 70µ F ; 0,0Hz 0,Hz ; 0,0Hz,885Hz 7µ F ;,0Hz,Hz ;,0Hz 8,85Hz,7µ F ; 0,Hz,Hz ; 0,Hz 88,5Hz 0,7µ F ; 0Hz Hz ; 0Hz 885Hz In tale modo si coprono tutte le requenze da 0,Hz a 885Hz. Le misure eettuate sul circuito danno i seguenti valori:,885khz

13 70µ F ; 0,Hz Hz ; 0,Hz Hz 7µ F ; 0,6Hz 8,7Hz ;,Hz 7,5Hz,7µ F ; 6,Hz 08Hz ;,6Hz 7Hz 0,7µ F ; 66Hz 00Hz ; Hz 060Hz on,8, 0,,6.

14 . isualizzatore di stato logico a diodi LED er pilotare i diodi LED si utilizza l integrato 7LS, che è un circuito pilota per 8 bus-line. Il circuito è il seguente: 0 G A A 6 A 8 A G A A 5 A 7 A 7 L S 0 Y Y Y Y Y Y Y Y La capacità 0,µ F cortocircuita a massa i rumori che potrebbero propagarsi attraverso l alimentazione. Assumendo,, F, I F 5mA, si calcola il valore di : F, 60Ω 70Ω I 5 0 F er il quale si utilizza il valore commerciale di 70Ω. Il 7LS è dotato degli ingressi di abilitazione G (pin ), che abilita i primi quattro driver (pin,, 6, 8), e G (pin ), che abilita i secondi quattro driver (pin,, 5, 7). ali ingressi sono attivi a livello basso, ossia per utilizzare il visualizzatore a LED bisogna collegare a massa G e G. oiché gli ingressi non utilizzati sono interpretati come ingressi a livello alto e ciò provoca la loro accensione. er spegnere i LED non utilizzati bisogna collegare a massa gli ingressi di tali LED.

15 . Interruttori di stato logico d ingresso con visualizzazione dello stato logico mediante LED 0 G G A A 6 A 8 A A A 5 A 7 A 7 L S 0 Y Y Y Y Y Y Y Y Ω KΩ er il corretto unzionamento del circuito gli ingressi di abilitazione G e G devono essere collegati a massa.

16 5. Interruttori antirimbalzo Aprendo e chiudendo un interruttore meccanico, si possono generare degli impulsi indesiderati che verrebbero interpretati, dal circuito pilotato, come eettive aperture e chiusure dell interruttore, con conseguente malunzionamento del circuito stesso. Questo inconveniente incide, in modo ortemente negativo, sui circuiti sequenziali. Se si utilizza un deviatore (come interruttore) il circuito antirimbalzo può essere realizzato da due porte NAND a due ingressi in conigurazione di FFS, come in igura. Q OU S Q L Il LED acceso indica che sull uscita è presente un livello logico alto, se spento un livello logico basso. Se si commuta il deviatore nella posizione, si ha: 0 S Q Q 0 LED acceso Anche se per eetto del rimbalzo meccanico S si porta a zero, non si ha commutazione dell uscita, 0 in quanto la combinazione è quella di memoria, ossia l uscita mantiene lo stato precedente S (Q). erché l uscita commuti da a 0 bisogna spostare il deviatore nella posizione S: S 0 Q 0 Q LED spento Anche in questo caso il rimbalzo meccanico del deviatore non ha alcun eetto sull uscita. er la realizzazione del circuito si utilizza un integrato L 7LS00, che contiene porte NAND a ingressi. Utilizzando tutte e quattro le porte si realizzano due interruttori antirimbalzo, secondo lo schema seguente.

17 S 6 Q Q OU L ½ 7LS S 0 8 Q Q OU L ½ 7LS00 KΩ 70Ω L

18 6. doppio visualizzatore con display a LED a 7 segmenti Si utilizza il decoder driver LAH esadecimale 7 segmenti a bit 68. tale circuito, a livello alto, è in grado di erogare una corrente di ma e pilotare direttamente un display a LED a 7 segmenti; è in grado di visualizzare il codice esadecimale; portando alto l ingresso LE (latch enable) è possibile memorizzare in uscita l ultimo valore, indipendentemente dal valore d ingresso; pilota display a catodo comune. Il circuito è il seguente. MSB LSB LE BI D B A 6 8 BO 8 a b c d e g a b c d e g e a g d, 8 b c Il secondo visualizzatore con display a LED a 7 segmenti è la replica del primo er il corretto unzionamento del visualizzatore bisogna collegare l ingresso LE a massa.

19 6. ircuito stampato della piastra sperimentale

20 7. - omponenti, resistenze, condensatori, circuiti integrati per la realizzazione della piastra Interruttori di comando Descrizione Quantità Note ircuito integrato L 7LS 8 Bus line driver Miniswitch x 8 o x 0 Da circuito stampato di 70Ω 8 di KΩ 8 di 00ηF oliestere o a disco Diodo LED 8 Zoccolo 0 pin ontatti a tulipano 0 isualizzatore a 6 diodi LED Descrizione Quantità Note ircuito integrato L 7LS 8 Bus line driver di 70Ω 6 Diodo LED 6 di 00ηF oliestere o a disco Zoccolo 0 pin ontatti a tulipano 60 Due interruttori privi di rimbalzo Descrizione Quantità Note ircuito integrato L 7LS00 NAND a ingressi di KΩ L di 70Ω di 00ηF oliestere o a disco Deviatori iatura Da pannello Zoccolo pin ontatti a tulipano 6

21 Generatore di clock Descrizione Quantità Note ircuito integrato L 7LS NAND a ingressi a trigger di Schmitt ircuito integrato L 7LS76 FFJKNE (master slave) Zoccolo pin Zoccolo 6 pin di 70Ω di,7kω di KΩ otenziometro lineare di 70µF Elettrolitico verticale di 7µF Elettrolitico verticale di,7µf Elettrolitico verticale di 0,7µF Elettrolitico verticale di 00ηF oliestere o a disco ontatti a tulipano 0 onticelli isualizzatori a display a LED a 7 segmenti Descrizione Quantità Note ircuito integrato 68 decoder driver LAH esadecimale 7 segmenti a bit Zoccolo 6 pin Display 7 segmenti K comune Serie FND500 di 00ηF oliestere o a disco ontatti a tulipano 5

22 8. Sbroglio del circuito 8.. isualizzatore di stato logico a 8 diodi LED GND Y A Y A Y A Y A A Y A Y A Y A Y G G

23 8.. Interruttori con visualizzazione dello stato logico a diodi LED G Y A Y A Y A Y 7LS A G A Y A Y A Y A Y GND

24 8.. Interruttori privi di rimbalzo L L 7LS00 8 Y GND 7 A Y 6 0 B B 5 Y A A Y B B A

25 8.. isualizzatore a display a 7 segmenti a diodi LED g K a b e d K c g a b c d e 68 B LE BO BI D A GND 8

26 8.5. Generatore di clock D nc B A Y K Q Q K Q Q J GND 7LS 7LS A B nc D Y GND K L J K L 6

27 INDIE. - Descrizione generale. Generatore di clock 5.. orte a trigger di Schmitt 5.. Astabile con porte a trigger di Schmitt (7LS) Deinizione dei componenti 0. isualizzatore di stato logico a diodi LED. Interruttori di stato logico d ingresso con visualizzazione dello stato logico mediante LED 5 5. Interruttori antirimbalzo 6 6. doppio visualizzatore con display a LED a 7 segmenti 8 6. ircuito stampato della piastra sperimentale 7. - omponenti, resistenze, condensatori, circuiti integrati per la realizzazione della piastra 0 8. Sbroglio del circuito 8.. isualizzatore di stato logico a 8 diodi LED 8.. Interruttori con visualizzazione dello stato logico a diodi LED 8.. Interruttori privi di rimbalzo 8.. isualizzatore a display a 7 segmenti a diodi LED Generatore di clock 6

INDICE 0.- INTRODUZIONE 1 1.- DESCRIZIONE GENERALE 2 2.- COLLAUDO DEL MINILAB 6

INDICE 0.- INTRODUZIONE 1 1.- DESCRIZIONE GENERALE 2 2.- COLLAUDO DEL MINILAB 6 INDIE 0.- INODUZIONE.- DESIZIONE GENEALE.- OLLAUDO DEL ILAB.- DOIO GENEAOE DI ENSIONE ONINUA AIABILE DA -0 A +0 E EGOLABILE ON EISIONE.- GENEAOE DI LOK 9.- GENEAOE DI ENSIONE DI IFEIMENO OSIIA AIABILE.

Dettagli

PROGETTO E VERIFICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON FLIP-FLOP JK.

PROGETTO E VERIFICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON FLIP-FLOP JK. PROGETTO E VERIICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON LIP-LOP JK. Definizione della funzione logica Ci proponiamo la realizzazione

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO B8 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 22/10/2013-1 ElapB8-2013 DDC Page 1 2013 DDC 1 Come

Dettagli

Contatore asincrono esadecimale

Contatore asincrono esadecimale Contatore asincrono esadecimale Il contatore asincrono è un circuito composto da un generatore di onde quadre (clock), quattro Flip Flop JK con Preset e Clear attivi a fronte logico basso. Preset, J e

Dettagli

EFFETTI LUMINOSI DI SCORRIMENTO DI LUCI OTTENUTI MEDIANTE UN GENERATORE DI SEQUENZE.

EFFETTI LUMINOSI DI SCORRIMENTO DI LUCI OTTENUTI MEDIANTE UN GENERATORE DI SEQUENZE. A cura dell alunna Alessia Focà della classe V sez. A ndirizzo nformatica Sperimentazione ABAUS Dell stituto Tecnico ndustriale Statele A. Monaco di osenza Supervisore Prof. Giancarlo Fionda nsegnante

Dettagli

PROGETTO,COLLAGGIO E VERIFICA DEL FUNZIONAMENTO DI UN CIRCUITO COMBINATORIO E VISUALIZZAZIONE DELLO STATO LOGICO DELLE USCITE MEDIANTE DIODI LED.

PROGETTO,COLLAGGIO E VERIFICA DEL FUNZIONAMENTO DI UN CIRCUITO COMBINATORIO E VISUALIZZAZIONE DELLO STATO LOGICO DELLE USCITE MEDIANTE DIODI LED. A cura dell alunno Nicola Braile della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda Insegnante

Dettagli

GENERAZIONE DI FUNZIONE LOGICA CON MULTIPLEXER

GENERAZIONE DI FUNZIONE LOGICA CON MULTIPLEXER GENERAZIONE I UNZIONE LOGICA CON MULTIPLEXER Spesso è conveniente utilizzare un multiplexer come generatore di funzione logica al fine di limitare il numero di circuiti integrati che bisognerebbe, altrimenti,

Dettagli

M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE

M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE Pag. 1/2 Sessione ordinaria 2008 Seconda prova scritta M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE CORSO DI ORDINAMENTO Indirizzo: ELETTRONICA E TELECOMUNICAZIONI Tema di: ELETTRONICA (Testo valevole

Dettagli

IL TEMPORIZZATORE 555 COME OSCILLATORE

IL TEMPORIZZATORE 555 COME OSCILLATORE IL TEMPORIZZATORE 555 COME OSCILLATORE di Biagio Laureti Il timer integrato chiamato comunemente 555, è un temporizzatore monolitico realizzato sia nel contenitore circolare a 8 piedini tipo TO-99 sia

Dettagli

6. GENERATORI DI SEGNALI DIGITALI

6. GENERATORI DI SEGNALI DIGITALI 6. GENERATORI DI SEGNALI DIGITALI MULTIVIBRATORE Dispositivo analogico attivo che genera un segnale binario (due possibili livelli di tensione). E realizzabile con un Amplificatore reazionato positivamente

Dettagli

La struttura circuitale del multivibratore monostabile deriva da quella dell astabile modificata nel seguente modo:

La struttura circuitale del multivibratore monostabile deriva da quella dell astabile modificata nel seguente modo: Generalità Il multivibratore monostabile è un circuito retroazionato positivamente, che presenta una tensione di uscita V out stabile che può essere modificata solo a seguito di un impulso esterno di comando

Dettagli

Misure su linee di trasmissione

Misure su linee di trasmissione Appendice A A-1 A-2 APPENDICE A. Misure su linee di trasmissione 1) Misurare, in trasmissione o in riflessione, la lunghezza elettrica TL della linea. 2) Dal valore di TL e dalla lunghezza geometrica calcolare

Dettagli

Se la Vi è applicata all ingresso invertente si avrà un comparatore invertente con la seguente caratteristica:

Se la Vi è applicata all ingresso invertente si avrà un comparatore invertente con la seguente caratteristica: I comparatori sono dispositivi che consentono di comparare (cioè di confrontare ) due segnali. Di norma uno dei due è una tensione costante di riferimento Vr. Il dispositivo attivo utilizzato per realizzare

Dettagli

VERIFICA DEL FUNZIONAMENTO DI CONTATORI ASINCRONI E VISUALIZZAZIONE DEL CONTEGGIO SU VISUALIZZATORE A LED A 7 SEGMENTI

VERIFICA DEL FUNZIONAMENTO DI CONTATORI ASINCRONI E VISUALIZZAZIONE DEL CONTEGGIO SU VISUALIZZATORE A LED A 7 SEGMENTI A cura dell alunna Martina Covelli della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO De3 ESERCIZI PARTI B e D» Esempi di esercizi da scritti di esame AA 2015-16 01/12/2015-1 ElapDe2-2014 DDC Page 1 2014 DDC 1 De3:

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

VERIFICA DEL FUNZIONAMENTO DI PORTE LOGICHE OR E AND REALIZZATE CON DIODI E VISUALIZZAZIONE DELLO STATGO LOGICO DELL USCITA MEDIANTE DIODO LED

VERIFICA DEL FUNZIONAMENTO DI PORTE LOGICHE OR E AND REALIZZATE CON DIODI E VISUALIZZAZIONE DELLO STATGO LOGICO DELL USCITA MEDIANTE DIODO LED A DL UNZONAMNTO D POT LOGH O AND ALZZAT ON DOD SUALZZAZON DLLO STATGO LOGO DLL USTA MDANT DODO LD Per entrambe le porte il LD acceso indicherà un livello logico alto ( ) e il LD spento un livello logico

Dettagli

LATCH E FLIP-FLOP PREMESSA

LATCH E FLIP-FLOP PREMESSA LATCH E FLIP-FLOP PREMESSA I latch e i flip flop sono circuiti digitali sequenziali che hanno il compito di memorizzare un bit. Un circuito digitale si dice sequenziale se l'uscita dipende dagli ingressi

Dettagli

TIMER 555. tensioni ci servono come tensionii di riferimento per i due comparatori interni.

TIMER 555. tensioni ci servono come tensionii di riferimento per i due comparatori interni. TIMER 555 Il timer è un circuito integrato complesso avente lo scopo di regolare per un tempo prestabilito determinati circuiti. In pratica il timer 555 è un temporizzatore. Nella seguente figura vediamo

Dettagli

Discharge. Timer 555. Threshold. Trigger

Discharge. Timer 555. Threshold. Trigger Punto a Lo schema proposto consente la misura dell umidità relativa tramite il confronto delle durate degli impulsi prodotti da due monostabili. Un monostabile produce un impulso di durata fissa, pari

Dettagli

Lo schema a blocchi del circuito integrato Timer 555 è il seguente:

Lo schema a blocchi del circuito integrato Timer 555 è il seguente: Il timer 555 è un circuito integrato progettato allo scopo di fornire impulsi di durata prestabilita. In pratica il timer 555 è un temporizzatore. Lo schema a blocchi del circuito integrato Timer 555 è

Dettagli

2. Accensione della scritta ALU su tre display, un segmento per volta e spegnimento contemporaneo della scritta una volta accesa

2. Accensione della scritta ALU su tre display, un segmento per volta e spegnimento contemporaneo della scritta una volta accesa EFFEI UMINOSI l giorno d'oggi, la igura del perito elettrotecnico, e in particolare la igura dell'elettricista, è cambiata a causa delle nuove tecnologie utilizzate e delle nuove competenze che un perito

Dettagli

Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo

Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo SCH 32 Convertitore tensione/frequenza Obiettivi Strumenti e componenti Comprendere il funzionamento dei convertitori V/f Saper effettuare misure di collaudo R1 = 1,2 KΩ; R2 = 3,6 KΩ; R4 = 180 Ω; R5 =

Dettagli

Laboratorio misure elettroniche ed elettriche: regolatori di tensione a tiristori

Laboratorio misure elettroniche ed elettriche: regolatori di tensione a tiristori Laboratorio misure elettroniche ed elettriche: regolatori di tensione a tiristori Circuiti di accensione per tiristori (Tavole E.1.1 - E.1.2) Considerazioni teoriche Per le debite considerazioni si fa

Dettagli

Un semplice multivibratore astabile si può realizzare con le porte logiche, come nel seguente circuito:

Un semplice multivibratore astabile si può realizzare con le porte logiche, come nel seguente circuito: Pagina 1 di 8 MULTIVIBRATORI Si dice multivibratore un circuito in grado di generare in uscita una forma d'onda di tipo rettangolare. Vi sono tre tipi di multivibratori. Multivibratore monostabile, multivibratore

Dettagli

LATCH E FLIP-FLOP PREMESSA

LATCH E FLIP-FLOP PREMESSA LATCH E FLIP-FLOP PREMESSA I latch e i flip flop sono circuiti digitali sequenziali che hanno il compito di memorizzare un bit. Un circuito digitale si dice sequenziale se l'uscita dipende dagli ingressi

Dettagli

LSS Reti Logiche: multivibratori e T555

LSS Reti Logiche: multivibratori e T555 LSS 2016-17 Reti Logiche: multivibratori e T555 Piero Vicini A.A. 2016-2017 Multivibratori Un multivibratore e un circuito che presenta per l uscita solo due stati stabili e/o metastabili. Il circuito

Dettagli

Multivibratore astabile con Amp. Op.

Multivibratore astabile con Amp. Op. Multivibratore astabile con Amp. Op. Il multivibratore astabile è un generatore di onde quadre e rettangolari; esso è un circuito retroazionato positivamente, avente due stati entrambi instabili, che si

Dettagli

15. Verifica delle tabelle della verità di alcune porte logiche

15. Verifica delle tabelle della verità di alcune porte logiche Scopo della prova 15. Verifica delle tabelle della verità di alcune porte logiche Ricavare le tabelle della verità di diverse porte logiche. Materiali e strumentazione 1 Alimentatore da 5 15 V, 1 A 1 Voltmetro

Dettagli

PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND.

PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND. PROGETTO E VERIFICA DI CIRCUITI LOGICI COMBINATORI IMPLEMENTATI CON SOLE PORTE NAND. I CIRCUITO Si vuole realizzare il circuito logico della funzione logica rappresentata nella tabella di verità di seguito

Dettagli

II.3.1 Inverter a componenti discreti

II.3.1 Inverter a componenti discreti Esercitazione II.3 Caratteristiche elettriche dei circuiti logici II.3.1 Inverter a componenti discreti Costruire il circuito dell invertitore in logica DTL e verificarne il funzionamento. a) Posizionando

Dettagli

Sistema di visualizzazione a 4 cifre.

Sistema di visualizzazione a 4 cifre. Sistema di visualizzazione a 4 cifre. Schema a blocchi Il nostro scopo è quello di costruire un dispositivo rappresentabile dal seguente schema a blocchi In questo sistema abbiamo 16 ingressi binari. I

Dettagli

(competenze digitali) CIRCUITI SEQUENZIALI

(competenze digitali) CIRCUITI SEQUENZIALI LICEO Scientifico LICEO Scientifico Tecnologico LICEO delle Scienze Umane ITIS (Meccanica, Meccatronica e Energia- Elettronica ed Elettrotecnica Informatica e Telecomunicazioni) ITIS Serale (Meccanica,

Dettagli

Collaudo statico di un ADC

Collaudo statico di un ADC Collaudo statico di un ADC Scopo della prova Verifica del funzionamento di un tipico convertitore Analogico-Digitale. Materiali 1 Alimentatore 1 Oscilloscopio 1 Integrato ADC 0801 o equivalente Alcuni

Dettagli

TIMER INTEGRATO IC 555 "The IC Time Machine"

TIMER INTEGRATO IC 555 The IC Time Machine TIMER INTEGRATO IC 555 "The IC Time Machine" Il timer 555 è un circuito integrato (IC) introdotto dalla Signetics nel 1971 per realizzare multivibratori monostabili, astabili ed in generale come generatore

Dettagli

Esercitazione 8 : LINEE DI TRASMISSIONE

Esercitazione 8 : LINEE DI TRASMISSIONE Esercitazione 8 : LINEE DI TRASMISSIONE Specifiche Scopo di questa esercitazione è verificare il comportamento di spezzoni di linea in diverse condizioni di pilotaggio e di terminazione. L'esecuzione delle

Dettagli

Esercitazione 4 : CONVERTITORE D/A CON RETE A SCALA

Esercitazione 4 : CONVERTITORE D/A CON RETE A SCALA Esercitazione 4 : CONVERTITORE D/A CON RETE A SCALA Specifiche Progettare un convertitore D/A a 6 bit utilizzando una rete a scala pilotata con deviatori di tensione. L'uscita deve coprire il campo 0-10

Dettagli

MULTIVIBRATORI NE 555

MULTIVIBRATORI NE 555 MULTIVIBRATORI Si dice multivibratore un circuito in grado di generare in uscita una forma d'onda di tipo rettangolare. Vi sono tre tipi di multivibratori. Multivibratore monostabile, multivibratore bistabile,

Dettagli

Anno scolastico Supervisore Prof. Giancarlo Fionda Insegnante di Elettronica

Anno scolastico Supervisore Prof. Giancarlo Fionda Insegnante di Elettronica A cura dell alunna Martina Covelli della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda

Dettagli

I.P.S.I.A. Di BOCCHIGLIERO. ----Misure sui converitori A/D---- Materia: Elettronica, Telecomunicazioni ed applicazioni. prof. Ing.

I.P.S.I.A. Di BOCCHIGLIERO. ----Misure sui converitori A/D---- Materia: Elettronica, Telecomunicazioni ed applicazioni. prof. Ing. I.P.S.I.A. Di BOCCHIGLIERO a.s. 2011/2012 -classe IV- Materia: Elettronica, Telecomunicazioni ed applicazioni ----Misure sui converitori A/D---- Alunna: Lautieri Mariacaterina prof. Ing. Zumpano Luigi

Dettagli

SCHEMA DEL CIRCUITO. La tabella riassume la modalità di segnalazione del circuito.

SCHEMA DEL CIRCUITO. La tabella riassume la modalità di segnalazione del circuito. POGETTO E EFCA DEL FUNZONAMENTO D UN CCUTO D SEGNALAZONE DELLO STATO D CACA DELLA BATTEA D UNA AUTOMOBLE EALZZATO CON L TANSSTO BCB SCHEMA DEL CCUTO + A La tabella riassume la modalità di gnalazione del

Dettagli

ESAME di STATO 2009 ISTITUTO PROFESSIONALE per l INDUSTRIA e l ARTIGIANATO

ESAME di STATO 2009 ISTITUTO PROFESSIONALE per l INDUSTRIA e l ARTIGIANATO ESAME di STATO 2009 ISTITUTO PROFESSIONALE per l INDUSTRIA e l ARTIGIANATO Materia: ELETTRONICA TELECOMUNICAZIONI & APPLICAZIONI Il circuito proposto appare abbastanza semplice perché si tratta di un dispositivo

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n. 23 - E - 5:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n. 23 - E - 5: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 23 - E - 5: Oscillatori a quarzo Astabili e multivibratori Elettronica II - Dante Del

Dettagli

I.P.S.I.A. Di BOCCHIGLIERO Multivibratori astabili ---- Materia: Elettronica. prof. Ing. Zumpano Luigi. Catalano, Iacoi e Serafini

I.P.S.I.A. Di BOCCHIGLIERO Multivibratori astabili ---- Materia: Elettronica. prof. Ing. Zumpano Luigi. Catalano, Iacoi e Serafini I.P.S.I.A. Di BOHIGLIERO a.s. 2010/2011 classe III Materia: Elettronica Multivibratori astabili alunni atalano, Iacoi e Serafini prof. Ing. Zumpano Luigi Generalità Si definiscono multivibratori quei dispositivi

Dettagli

CONTA IMPULSI E CONTA SECONDI Realizzato da Roberto M. alias RINGO Data

CONTA IMPULSI E CONTA SECONDI Realizzato da Roberto M. alias RINGO Data Realizzato da Roberto M. alias RINGO Data 12-06-2009 Questo circuito conta impulsi a 4 cifre potrà essere utilizzato come conta pezzi, conta giri, oppure come semplice conta secondi, inoltre può far comprendere

Dettagli

AMPLIFICATORE INVERTENTE E NON INVERTENTE CON DIVERSO GUADAGNO RELATIVAMENTE ALLA SEMIONDA POSITIVA E ALLA SEMIONDA NEGATIVA DEL SEGNALE D INGRESSO

AMPLIFICATORE INVERTENTE E NON INVERTENTE CON DIVERSO GUADAGNO RELATIVAMENTE ALLA SEMIONDA POSITIVA E ALLA SEMIONDA NEGATIVA DEL SEGNALE D INGRESSO MPLIFICTOE INVETENTE E NON INVETENTE CON DIVESO GUDGNO ELTIVMENTE LL SEMIOND POSITIV E LL SEMIOND NEGTIV DEL SEGNLE D INGESSO Si diversifica l amplificazione relativamente alla semionda positiva (amplificazione

Dettagli

Esercitazione 4: Sintetizzatore di frequenza con PLL

Esercitazione 4: Sintetizzatore di frequenza con PLL Esercitazione 4: Sintetizzatore di frequenza con PLL 1. Informazioni generali 1.1 Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Verificare il comportamento di un PLL - Determinare

Dettagli

Laboratorio di Elettronica Dispositivi elettronici e circuiti Linee di trasmissione Proprieta' e fenomenologia dei semiconduttori. Dispositivi a semiconduttore: * diodo a giunzione * transistor bjt * transistor

Dettagli

Generatore di onda quadra e contatore asincrono

Generatore di onda quadra e contatore asincrono Queste istruzioni sono scaricabili da http://areeweb.polito.it/didattica/corsiddc/03moa. Generatore di onda quadra e contatore asincrono Scopo dell esercitazione Gli obiettivi di questa esercitazione sono:

Dettagli

Materiale didattico > Uso delle basette per montaggi senza saldature

Materiale didattico > Uso delle basette per montaggi senza saldature Esercitazione 3 Convertitore D/A e A/D con rete di peso Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Verificare il funzionamento di un convertitore D/A a 4 bit, - Individuare

Dettagli

Soluzione: prof. Stefano Mirandola PRIMA PARTE. 1) 2) Schema a blocchi e progetto circuitale della catena di condizionamento.

Soluzione: prof. Stefano Mirandola PRIMA PARTE. 1) 2) Schema a blocchi e progetto circuitale della catena di condizionamento. ITEC - ELETTRONICA ED ELETTROTECNICA Sessione ordinaria 206 ARTICOLAZIONE ELETTRONICA Tema di: ELETTROTECNICA ED ELETTRONICA Soluzione: prof. Stefano Mirandola PRIMA PARTE ) 2) Schema a blocchi e progetto

Dettagli

Verificare il funzionamento delle memorie RAM Saper effettuare misure di collaudo. Dip switch Pulsante n.a. Octal tri-state buffer IC2 = MM 2114

Verificare il funzionamento delle memorie RAM Saper effettuare misure di collaudo. Dip switch Pulsante n.a. Octal tri-state buffer IC2 = MM 2114 SCH 31 Scrittura/lettura RAM Obiettivi Strumenti e componenti Verificare il funzionamento delle memorie RAM Saper effettuare misure di collaudo S1 S5 P1 IC1 = 74LS244 Dip switch Pulsante n.a. Octal tri-state

Dettagli

Comprendere il funzionamento dei convertitori Saper effettuare misure di collaudo

Comprendere il funzionamento dei convertitori Saper effettuare misure di collaudo SCH 35 Convertitore A/D Obiettivi Comprendere il funzionamento dei convertitori Saper effettuare misure di collaudo Strumenti e componenti IC1 LM 35 IC2 LM 158 IC3 ADC 0804 IC4 74LS244 R 1 = 75 Ω R 2 =

Dettagli

I.T.I.S. Max Planck Verifica di Elettronica Oscillatori classe 5 A/Tel a.s. 2013/14 COGNOME E NOME Data: 27/11/2013

I.T.I.S. Max Planck Verifica di Elettronica Oscillatori classe 5 A/Tel a.s. 2013/14 COGNOME E NOME Data: 27/11/2013 I.T.I.. Max Planck Verifica di Elettronica Oscillatori classe 5 A/Tel a.s. 03/4 OGNOME E NOME Data: 7//03 Quesito ) (50%) Dato il circuito qui a fianco che rappresenta un oscillatore sinusoidale a ponte

Dettagli

T10 CONVERTITORI A/D E D/A

T10 CONVERTITORI A/D E D/A T10 CONVERTITORI A/D E D/A T10.1 Esplicitare i seguenti acronimi riguardanti i convertitori A/D e D/A: ADC.. DAC.. LSB.. SAR... S&H.. T10.2 Quanto vale l intervallo di quantizzazione in un ADC a 8 bit

Dettagli

(Link al materiale in formato html)

(Link al materiale in formato html) Materiale didattico realizzato dal Prof. Giancarlo Fionda insegnante di elettronica. Di seguito è mostrato l'elenco degli argomenti trattati (indice delle dispense): (Link al materiale in formato html)

Dettagli

Il funzionamento interno del timer 555 è determinato dalle tensioni in uscita dei due comparatori che in modo asincrono pilotano il FF S-R.

Il funzionamento interno del timer 555 è determinato dalle tensioni in uscita dei due comparatori che in modo asincrono pilotano il FF S-R. IL TIMER 555 Un primo comparatore riceve una tensione di 2/3V cc sull ingresso invertente (pin 5, V c ), il secondo una tensione di 1/3V cc sull ingresso non invertente. Le uscite dei due comparatori pilotano

Dettagli

I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE

I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE ... I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE di Maurizio Del Corso m.delcorso@farelettronica.com Il nome è senza dubbio simpatico, ma cosa sono i FLIP-FLOP (FF)? Come funzionano? Quale è la

Dettagli

L integrato NE555 come monostabile

L integrato NE555 come monostabile L integrato NE555 come monostabile Il multivibratore monostabile (detto anche one-shot) è un circuito che, a regime, permane nello stato stabile; per rimuoverlo da questo stato e portarlo nello stato quasi

Dettagli

Generatore di numeri casuali

Generatore di numeri casuali Generatore di numeri casuali Descrizione del problema Definizione delle specifiche di progetto Il circuito che vogliamo progettare è un generatore di numeri casuali da a 90. Questo circuito può avere delle

Dettagli

Alimentatore con uscita variabile

Alimentatore con uscita variabile D N400 LM7 SW F T 5 - + 4 D4 D + C 00uF VI GND VO R 0 R K D N400 + C uf A 4 8 0:8 BRIDGE R4,8K + C 0uF R,K V Versione del 6 ottobre 006 Alimentatore con uscita variabile Vogliamo progettare un alimentatore

Dettagli

La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI.

La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI. 1.1 - Rete di ritardo La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI. V ce I c 90% 90% V CI 10% 10% t on = t d(on) + t r t off = t d(off) + t r Fig. 1.1 Risposta di un Mosfet

Dettagli

Un sistema per il rilevamento dell umidità

Un sistema per il rilevamento dell umidità Un esempio di utilizzo di astabili e monostabili Un sistema per il rilevamento dell umidità Il tema degli esami di stato del 2008 Pag. /2 Sessione ordinaria 2008 Seconda prova scritta M320 ESAME DI STATO

Dettagli

GENERATORE DI RAMPA A GRADINI

GENERATORE DI RAMPA A GRADINI Istituto Professionale di Stato per l'industria e l'artigianato MORETTO Via Luigi Apollonio, 21 BRESCIA GENERATORE DI RAMPA A GRADINI Realizzazione CHIMINI MASSIMILIANO FONTANA MASSIMO della classe 5AI

Dettagli

1N4001 LM317 VI GND. + C1 2200uF. + C2 10uF

1N4001 LM317 VI GND. + C1 2200uF. + C2 10uF Alimentatore con uscita variabile rev. del /06/008 pagina /0 D N400 LM7 SW F T 5 - + 4 D4 D + C 00uF VI GND VO 0 K D N400 + C uf A 4 8 0:8 BIDGE 4,8K + C 0uF,K V Alimentatore con uscita variabile Vogliamo

Dettagli

Modulo di uscite di sicurezza AS-i con slave di diagnostica

Modulo di uscite di sicurezza AS-i con slave di diagnostica uscite di sicurezza, ingressi di sicurezza e ingressi standard in un unico modulo 4 x uscite di sicurezza elettroniche veloci ingressi di sicurezza di -canali, regolabile per contatti senza potenziale

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali - I circuiti sequenziali sono caratterizzati dal fatto che, in un dato istante tn+1 le uscite dipendono dai livelli logici di ingresso nell'istante tn+1 ma anche dagli stati assunti

Dettagli

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A:

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A: ESPERIMENTZIONI DI FISIC 3 Traccia delle lezioni di Elettronica digitale M. De Vincenzi.: 22-23 Contenuto. Sistemi elettrici a 2 livelli 2. lgebra di oole Definizione Sistemi funzionali completi Leggi

Dettagli

Capitolo IX. Convertitori di dati

Capitolo IX. Convertitori di dati Capitolo IX Convertitori di dati 9.1 Introduzione I convertitori di dati sono circuiti analogici integrati di grande importanza. L elaborazione digitale dei segnali è alternativa a quella analogica e presenta

Dettagli

FARO STROBOSCOPICO A LED MULTIFUNZIONE

FARO STROBOSCOPICO A LED MULTIFUNZIONE presenta FARO STROBOSCOPICO A LED MULTIFUNZIONE Per altri progetti visita www.sebaseraelettronica.altervista.org AVVERTENZE Tutto il materiale presente in questa relazione ha scopo puramente illustrativo,

Dettagli

Costruzione di un ADC

Costruzione di un ADC Laboratorio di Segnali e Sistemi - Esercitazione -6 - Costruzione di un ADC Claudio Luci last update : 070117 Claudio Luci Laboratorio di Segnali e Sistemi Esercitazione 6 1 Costruzione di un ADC: Contatore

Dettagli

Classe III specializzazione elettronica. Elettrotecnica e elettronica

Classe III specializzazione elettronica. Elettrotecnica e elettronica Classe III specializzazione elettronica Elettrotecnica e elettronica Macro unità n 1 Sistema binario e porte logiche Sistema di numerazione binario: conversioni binario-decimale e decimale-binario Porte

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Esercitazione 2 I Flip Flop 1. ual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano

Dettagli

Misure Elettriche ed Elettroniche Esercitazioni Lab - Circuiti con diodi e condensatori 1. Circuiti con diodi e condensatori

Misure Elettriche ed Elettroniche Esercitazioni Lab - Circuiti con diodi e condensatori 1. Circuiti con diodi e condensatori Esercitazioni Lab - Circuiti con diodi e condensatori 1 Circuiti con diodi e condensatori Esercitazioni Lab - Circuiti con diodi e condensatori 2 Circuito con diodo e condensatore Consideriamo un circuito

Dettagli

I.T.I. Modesto PANETTI B A R I. Area di progetto III ETB Anno scolastico INNAFFIATORE AUTOMATICO

I.T.I. Modesto PANETTI B A R I. Area di progetto III ETB Anno scolastico INNAFFIATORE AUTOMATICO I.T.I. Modesto PANETTI B A R I Via Re David, 186-70125 BARI 080-542.54.12 - Fax 080-542.64.32 Intranet http://10.0.0.222 - Internet http://www.itispanetti.it email : BATF05000C@istruzione.it Area di progetto

Dettagli

{ v c 0 =A B. v c. t =B

{ v c 0 =A B. v c. t =B Circuiti RLC v c t=ae t / B con τ=rc e { v c0=ab v c t =B Diodo La corrente che attraversa un diodo quando questo è attivo è i=i s e v /nv T n ha un valore tra e. Dipende dalla struttura fisica del diodo.

Dettagli

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND.

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND. 1 Elementi di memoria: flip-flop e registri Porte logiche elementari CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Livello fisico

Dettagli

POLITECNICO DI BARI! DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE!

POLITECNICO DI BARI! DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE! POLITECNICO DI BARI DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE Ingegneria Informatica e dell Automazione FONDAMENTI DI ELETTRONICA - SECONDA PROVA DI LABORATORIO Prof. Daniela De Venuto Strumentazione

Dettagli

Università degli studi di Lecce

Università degli studi di Lecce Università degli studi di Lecce Facoltà di Ingegneria Informatica Corso Nettuno Progetto di un potenziometro digitale Studente: Tridici Daniele Progetto di un potenziometro digitale Descrizione generale

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 19 - E - 1: Comparatori di soglia Comparatori con isteresi Circuiti misti analogici

Dettagli

Esercitazioni lab per informatici

Esercitazioni lab per informatici Esercitazioni lab per informatici Turno 1 1) Misura della funzione di trasferimento di una porta CMOS NOT Componente: CD 4011BE Cortocircuitare i due ingressi della porta NAND per ottenere una porta NOT,

Dettagli

Dipartimento di Fisica Sperimentale Laboratorio di Elettronica

Dipartimento di Fisica Sperimentale Laboratorio di Elettronica Dipartimento di Fisica Sperimentale Laboratorio di Elettronica D.Gamba,P.P.Trapani April 9, 2004 1 1 Circuiti Digitali Integrati: Timer, ADC e DAC In questo Lab. si imparera ad usare alcuni circuiti integrati

Dettagli

Esperienza n. 6 Carica e scarica di un condensatore. Misura della capacità di un condensatore

Esperienza n. 6 Carica e scarica di un condensatore. Misura della capacità di un condensatore Il metodo dell elettrometro può essere utilizzato per misure di resistenze o in alternativa di capacità (a seconda che si usi R nota o nota). In particolare l utilizzo dell elettrometro consente di misurare

Dettagli

Gabriele Fantechi. COSTRUZIONE DI UN ANEMOMETRO ( a costo zero)

Gabriele Fantechi. COSTRUZIONE DI UN ANEMOMETRO ( a costo zero) Gabriele Fantechi COSTRUZIONE DI UN ANEMOMETRO ( a costo zero) Il primo blocco è costituito da un sensore recuperato da uno scanner (aveva la funzione di sensore di finecorsa): una coppia composta da led

Dettagli

ELETTRONICA PER L INFORMATICA ESERCITAZIONE DI LABORATORIO 2

ELETTRONICA PER L INFORMATICA ESERCITAZIONE DI LABORATORIO 2 Esercitazione di laboratorio 2 Convertitore D/A e A/D con rete di peso Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Verificare il funzionamento di un convertitore D/A a 4 bit,

Dettagli

Relazione di laboratorio di telecomunicazioni. 23/01/2014. Calcolare la Vc per ogni istante t (da t = 0 ms a t = 1 ms).

Relazione di laboratorio di telecomunicazioni. 23/01/2014. Calcolare la Vc per ogni istante t (da t = 0 ms a t = 1 ms). Relazione di laboratorio di telecomunicazioni. 23/01/2014 Titolo: Carica di un condensatore. Obiettivi: Calcolare Tau con la formula R x C. Calcolare la Vc per ogni istante t (da t = 0 ms a t = 1 ms).

Dettagli

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per:

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: INTRODUZIONE AI CONTATORI Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: o Conteggio di eventi o Divisione di frequenza o Temporizzazioni Principi

Dettagli

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

Motori Motore passo-passo Stadio di potenza PWM Sincrono Stadio di potenza del motore passopasso. Blocchi funzionali. Set point e generatore PWM

Motori Motore passo-passo Stadio di potenza PWM Sincrono Stadio di potenza del motore passopasso. Blocchi funzionali. Set point e generatore PWM RC1 Blocchi funzionai Motori a corrente continua Generatori Circuiti per il controllo dei motori in CC Motori a corrente alternata Circuiti per il controllo dei motori in CA Motori passo-passo Circuiti

Dettagli

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita LOGICA SEQUENZIALE Logica combinatoria Un blocco di logica puramente combinatoria è un blocco con N variabili di ingresso e M variabili di uscita che sono funzione (booleana) degli ingressi in un certo

Dettagli

Fagor Automation S. Coop. MANV-I/O. Manuale di installazione e funzionamento. Manual code: Manual version: 0404

Fagor Automation S. Coop. MANV-I/O. Manuale di installazione e funzionamento. Manual code: Manual version: 0404 Fagor Automation S. Coop. MANV-I/O Manuale di installazione e funzionamento Manual code: 14460006 Manual version: 0404 1. OPZIONE: INGRESSI /USCITE (X2) Con questa opzione viene offerto un connettore addizionale

Dettagli

Vout Vo1. Vo0 Vt. Vin. D3x - Presentazione della lezione D3. D3a COMPARATORI SENZA ISTERESI

Vout Vo1. Vo0 Vt. Vin. D3x - Presentazione della lezione D3. D3a COMPARATORI SENZA ISTERESI D3x - Presentazione della lezione D3 1/1- Obiettivi»Passaggio da un segnale analogico ad uno digitale»comparatori di soglia senza isteresi»comparatori di soglia con isteresi (utilizzando AO)»Tensioni di

Dettagli

Relazione di laboratorio. Studente COGNOME Nome

Relazione di laboratorio. Studente COGNOME Nome Relazione di laboratorio Studente COGNOME Nome Titolo: realizzazione di un circuito oscillatore astabile collegabile all accendisigari di un autoveicolo (insomma un LED che lampeggia) Docente: COGNOME

Dettagli

2 Alimentazione. +Vdc. Alimentazione 1 IGBT1 GND1. Alimentazione 2 IGBT2 GND2. -Vdc. Fig.1 - Alimentazione corretta degli switchs di uno stesso ramo

2 Alimentazione. +Vdc. Alimentazione 1 IGBT1 GND1. Alimentazione 2 IGBT2 GND2. -Vdc. Fig.1 - Alimentazione corretta degli switchs di uno stesso ramo 2 Alimentazione In un convertitore di potenza l alimentazione rappresenta un elemento fondamentale di tutto il progetto. L alimentatore deve essere in grado di fornire il livello di tensione e la corrente

Dettagli

Controllori programmabili serie AC31 Dati applicativi serie 90

Controllori programmabili serie AC31 Dati applicativi serie 90 Dati tecnici aggiuntivi 07 KT 98 In generale vengono considerati validi i dati tecnici del sistema riportati a pag 1/77-78. I dati aggiuntivi o diversi dai dati del sistema sono riportati qui di seguito.

Dettagli

Telecomando infrarossi

Telecomando infrarossi Telecomando infrarossi Toppano Michele Trieste, 4 giugno 1999 1 Introduzione IRED (Infrared emitter diode) ovvero diodi che emettono radiazioni comprese nella banda dell infrarosso. Vengono largamente

Dettagli

Università degli studi di Lecce

Università degli studi di Lecce Università degli studi di Lecce Tesina di elettronica 2 Studente: Distante Carmelo Facoltà: Ingegneria Informatica teledidattica Generatore di Numeri casuali Anno Accademico 2002/2003 Generatore di numeri

Dettagli

"Centrale per risparmio energetico Mod. R01"

Centrale per risparmio energetico Mod. R01 SCHEDA TECNICA "Centrale per risparmio energetico Mod. R01" La presente relazione descrive le caratteristiche ed il funzionamento hardware e software del prototipo in oggetto, atto a limitare gli sprechi

Dettagli

Esami di Stato 2008 - Soluzione della seconda prova scritta. Indirizzo: Elettronica e Telecomunicazioni Tema di ELETTRONICA

Esami di Stato 2008 - Soluzione della seconda prova scritta. Indirizzo: Elettronica e Telecomunicazioni Tema di ELETTRONICA Risposta al quesito a Esami di Stato 2008 - Soluzione della seconda prova scritta Indirizzo: Elettronica e Telecomunicazioni Tema di ELETTRONICA (A CURA DEL PROF. Giuseppe SPALIERNO docente di Elettronica

Dettagli