SECONDA UNIVERSITA DEGLI STUDI DI NAPOLI. Facoltà di Ingegneria

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "SECONDA UNIVERSITA DEGLI STUDI DI NAPOLI. Facoltà di Ingegneria"

Transcript

1 SECONDA UNIVERSITA DEGLI STUDI DI NAPOLI Facoltà di Ingegneria Corso di Laurea Magistrale in Ingegneria Informatica Corso di Elettronica dei Sistemi Digitali Progetto Progettazione di un IC per la risoluzione di disequazioni di primo grado in Pipeline Docente Prof. Aldo Minardo Studenti Andrea Cirillo Matr. A Pasquale Cirillo Matr. A Anno Accademico

2 1 Introduzione Nel corso del progetto ci si è rivolti alla progettazione e alla simulazione di un IC per la risoluzione di disequazioni di primo grado sfruttando la tecnica della Pipeline. L obiettivo è quello di ottenere, dati tre ingressi A, B, C su quattro bit, il risultato delle disequazioni: A + B C A + B C (1) Il layout del sistema è stato realizzato seguendo un approccio modulare per cui, di seguito, si procederà illustrando singolarmente il funzionamento dei diversi dispositivi per passare, infine, alla composizione degli stessi. In particolare, i dispositivi sono stati progettati in tecnologia CMOS da 0.25µm con 6 livelli di metal con l ausilio del software Microwind 3.1 : esso consente al progettista di simulare e progettare un circuito integrato a livello fisico. La struttura in Pipeline è stata progettata con la tecnologia a Pass-Transistor seguendo lo schema generale riportato in Figura 1, dove la logica combinatoria contrassegnata con F corrisponde ad un Adder Ripple Carry a 4 bit realizzato sfruttando la tecnologia a Gate di Trasmissione, mentre quella contrassegnata con G corrisponde ad un Comparatore a 4 bit. In realtà, alla struttura basilare illustrata sono state apportate alcune modifiche che verrano descritte nei paragrafi successivi. 2 Invertitore La Figura 2(a) mostra lo schema circuitale di un invertitore CMOS statico. Il suo funzionamento è facilmente intuibile a partire dal modello a interruttore del transistor MOS mostrato in Figura 2(b). In prima approssimazione, il transistor può essere rappresentato da un interruttore con una resistenza infinita quando è aperto ( V GS < V T ) e una resistenza finita quando è chiuso ( V GS > V T ). Ciò si traduce nella seguente interpretazione dell invertitore: se V in ha un valore logico alto uguale a V DD, il transistore NMOS è attivo e il transistor PMOS è interdetto. In queste condizioni esiste un cammino diretto tra l uscita e la massa del circuito; a regime l uscita assume una tensione V out = 0 V. Figura 1: Pipeline 1

3 (a) (b) Figura 2: Invertitore statico CMOS: (a) Schema circuitale, (b) rappresentazione dell invertitore mediante interruttori. Figura 3: Analisi della risposta dinamica dell invertitore CMOS Invece, quando la tensione di ingresso ha un valore logico basso (0 V ) i transistor NMOS e PMOS sono rispettivamente spento e acceso; l uscita è connessa attraverso il PMOS all alimentazione e assume un valore di tensione V out = V DD. Questa porta realizza la funzione logica NOT. Utilizzando lo stesso modello ad interruttore del transistore MOS, è possibile fare un analisi qualitativa del comportamento dinamico della porta logica (Figura 3). La risposta dinamica è dominata principalmente dalla capacità di uscita C L, che è composta dalle capacità parassite dei drain dei due transistor, dalla capacità delle piste di interconnessione e dalle capacità di ingresso delle porte logiche che l invertitore sta pilotando. Supponendo che la tensione di ingresso commuti istantaneamente e considerando una transizione basso-alto, avremo che la risposta dinamica è determinata dal tempo che la porta impiega a caricare la capacità C L attraverso la resistenza R p. La dipendenza della resistenza e della capacità dalla tensione V out può essere eliminata rimpiazzando entrambi gli elementi con i loro corrispondenti lineari. In questo modo, il calcolo del tempo di propagazione del circuito si semplifica in un analisi di una rete RC. Per cui: 2

4 Figura 4: Invertitore statico CMOS: Layout realizzato in Microwind 3.1 t p = t phl + t plh 2 t phl = 0, 69R eqn C L t plh = 0, 69R eqp C L = 0, 69C L ( Reqn + R eqp 2 ) (2) Per avere che i tempi di propagazione di una porta logica siano gli stessi per entrambe le commutazioni del segnale di ingresso è necessario realizzare i due transistor in modo che abbiano approssimativamente lo stesso valore di resistenza equivalente. A tale scopo, la realizzazione riportata nella Figura 4 mostra un invertitore composto da un transistor PMOS con un rapporto di aspetto ( ) W L = e da un transistor NMOS con un rapporto di aspetto ( ) W L = Considerando un carico sul nodo di uscita di 0.01 pf, la porta logica mostra un tempo di ritardo per le due transizioni molto simili tra loro (Figura 5): per la transizione basso-alto la porta mostra un ritardo di circa 22 ps, mentre per la transizione alto-basso il ritardo è di circa 34 ps. 3

5 Figura 5: Invertitore statico CMOS: test del dispositivo 3 Il sommatore L addizione è l operazione aritmetica usata più frequentemente e costituisce spesso il fattore limitante per la velocità computazionale di un sistema. Dunque, l ottimizzazione accurata dei blocchi sommatori riveste il ruolo di massima importanza nel progetto di un unità di elaborazione. L ottimizzazione procede sia a livello logico sia a livello circuitale. A livello logico è possibile riorganizzare la struttura delle varie relazioni booleane in modo da ottenere un circuito più piccolo e veloce. D altra parte, a livello di circuito è possibile manipolare le dimensioni dei transistor e la topologia delle porte logiche in modo tale da ottimizzare ciascun elemento che compone il sommatore. La Tabella 1 mostra la tabella di verità di un sommatore binario (full adder): A e B sono i due bit da sommare, C i è il riporto in ingresso, S rappresenta il risultato della somma e C o è il riporto di uscita risultante dalla somma A + B. Le relazioni booleane che legano gli ingressi A, B e C i alle uscite S e C o si possono esprimere come segue: S = A B C i = A B C i + ĀB C i + Ā BC i + ABC i (3) C o = AB + BC i + AC i Molto spesso è utile dal punto di vista dell implementazione definire i segnali di somma e riporto di uscita S e C o in funzione di tre segnali intermedi: G (Generate), D (Delete) e P (Propagate). G = 1 significa che il riporto in uscita (C o ) è generato, cioè posto a 1 indipendentemente dal valore di C i ; D = 1 rappresenta il caso opposto in 4

6 A B C i S C o Tabella 1: Tabella di verità di un sommatore binario cui C o è posto a 0 indipendentemente da C i ; P = 1 significa che il valore del riporto di uscita sarà uguale a quello di ingresso, cioè che il valore del riporto all ingresso C i viene propagato verso l uscita C o. Dando uno sguardo alla tabella di verità, possiamo ricavare le espressioni booleane che legano i segnali G, D e P ai valori logici dei bit in ingresso A e B: G = AB D = Ā B P = A B (4) Da queste relazioni si possono ricavare S e C o in funzione di P e G: C o (G, P ) = G + P C i S (G, P ) = P C i (5) Notiamo che i segnali G e P sono funzione dei solo ingressi A e B, ma non del segnale di riporto C i. Un sommatore a N bit può essere realizzato nel modo più semplice mettendo in cascata N blocchi full-adder, connettendo l uscita del riporto del blocco k 1 (C o,k 1 ) al riporto di ingresso del blocco k (C i,k ), con k = 1, 2,... N 1, mentre il riporto di ingresso del primo blocco (C i,0 ) è costante a 0. Questo tipo di architettura è detta sommatore ripple-carry (sommatore a propagazione del riporto), poichè il riporto si propaga da uno stadio all altro. Il tempo di ritardo del circuito dipende dal numero di stadi logici che devono essere attraversati che a sua volta è funzione della configurazione dei bit in ingresso: per alcune coppie di ingressi non vi è alcuna propagazione, mentre per altre coppie il riporto deve propagarsi attraverso l intera catena dal bit meno significativo al bit più significativo. Il tempo di propagazione di questa struttura è definito come il ritardo calcolato in condizioni di caso peggiore tra tutte le combinazioni possibili degli ingressi. Nel sommatore ripple-carry, il caso peggiore si verifica quando il riporto è generato nel bit meno significativo e propagato lungo tutta la catena fino al bit più 5

7 Figura 6: Circuito a gate di trasmissione che realizza un full-adder con tempi di propagazione simili per il segnale di somma e riporto significativo. Il valore del riporto è usato, infine, nell ultimo stadio per calcolare il bit più significativo della somma. Il tempo di ritardo è, quindi, proporzionale al numero N di bit delle parole di ingresso ed è approssimativamente dato da: t add = (N 1) t C + t S (6) dove t C è il tempo per il calcolo del riporto (carry) da C i a C o e t S quello della somma da C i a S. Un full-adder può essere costruito usando multiplexer e porte logiche XOR. Sebbene questo approccio non sia facilmente praticabile in logica complementare, diventa abbastanza semplice usando la logica a gate di trasmissione. Una possibile implementazione di un full-adder basato su questa tecnica è mostrato nella Figura 6 e impiega 24 transistor. Questa realizzazione fa uso dei segnali intermedi di propagazione (P ) e generazione (G) del riporto presentati nelle (4). Il segnale P, che deriva dall operazione XOR tra A e B, è usato per selezionare il valore diretto o negato del riporto in ingresso come nuovo valore della somma. Inoltre, in funzione del valore del segnale P, il riporto in uscita assume il valore del riporto in ingresso oppure quello dell ingresso A (in alternativa è possibile usare anche B). Una delle caratteristiche più interessanti di questo tipo di realizzazione è la simmetria tra i tempi di propagazione della somma e del riporto in uscita. Seguendo un approccio modulare verranno di seguito illustrate le singole parti che compongono il sommatore partendo dall elemento principale, il gate di trasmissione, e procedendo gradualmente alla composizione delle funzioni più complesse utilizzate per il calcolo della somma e del riporto. 6

8 Figura 7: Gate di trasmissione CMOS 3.1 Il gate di trasmissione Il gate di trasmissione fonda il suo funzionamento sulle proprietà complementari dei transistor NMOS e PMOS: i dispositivi NMOS trasmettono bene gli 0 logici e male gli 1; invece, i dispositivi PMOS trasmettono correttamente gli 1 logici, ma male gli 0. Il gate di trasmissione sintetizza in un unico componente le proprietà migliori di entrambi i transistor, combinando un NMOS e un PMOS in parallelo come mostrato in Figura 7. I segnali di controllo, C e C, sono complementari: quando C = 1, entrambi i MOSFET sono attivi, permettendo al segnale di passare attraverso il gate di trasmissione, per cui: A = B se C = 1 Al contrario, con C = 0, entrambi i transistor sono interdetti e il gate di trasmissione diventa un circuito aperto tra A e B. Consideriamo il processo di carica del nodo B da 0 a V DD attraverso il gate di trasmissione in figura Figura 8a. Il nodo A è mantenuto a V DD e il gate di trasmissione è abilitato (C = 1 e C = 0). Se fosse presente il solo dispositivo NMOS, il nodo B sarebbe caricato solamente fino a V DD V T n, cioè fino al punto in cui il transistor NMOS si spegne. Tuttavia, essendo presente e acceso anche il transistor PMOS (V GSp V DD ), l uscita viene caricata fino a V DD. La Figura 8b mostra il caso opposto, cioè, la scarica del nodo B da V DD a 0. Il transistor PMOS da solo può scaricare il nodo B fino a V T p e poi si spegne. Il transistor NMOS in parallelo rimane comunque acceso (essendo V GSn = V DD ) e consente di scaricare completamente il nodo B a massa. Sebbene il gate di trasmissione richieda due transistor e due segnali di controllo, esso consente di ottenere l escursione logica massima tra massa e alimentazione. Nella Figura 9 è riportato il layout del gate di trasmissione implementato utilizzando un transistor PMOS con un rapporto di aspetto ( ) W L = e un transistor NMOS con un rapporto di aspetto ( ) W L = In queste condizioni, considerando una capacità di carico sul nodo di uscita pari a 0.01 pf, il tempo di propagazione per la transizione basso-alto è di circa 42 ps, mentre per la transizione alto-basso è di circa 46 ps. Dalla Figura 10 è possibile notare come sulla commutazione basso-alto del segnale di controllo Clock collegato al Gate del transistor NMOS (e la corrispondente transizione alto-basso del segnale Clock collegato al terminale Gate del PMOS) l impulso sull ingresso DataIn si propaghi sull uscita DataOut. 7

9 Figura 8: Il gate di trasmissione fornisce un escursione logica completa tra massa e alimentazione Figura 9: Layout del Gate di trasmissione realizzato in Microwind Generazione del segnale di propagazione del riporto Come descritto precedentemente, il segnale di propagazione del riporto è calcolato applicando l operazione XOR tra il segnale A e B. La funzione logica XOR è facilmente implementabile con la tecnica a gate di trasmissione: la soluzione della Figura 6 fa uso di due gate di tramissione e due invertitori in modo da ottenere, oltre al segnale di propagazione P, anche il segnale P. Analizziamo, ora, il comportamento del circuito considerando l ingresso A = 1 (Ā = 0) e B = 0: il gate di trasmissione in alto risulta essere spento, per cui la tensione al nodo P è imposta dal primo invertitore pilotato dall ingresso B (il segnale Ā collega il transistor NMOS a massa) ed è uguale al valore logico 1; il gate di trasmissione in basso è acceso, mentre il secondo invertitore risulta essere spento, per cui il nodo P verrà scaricato dal gate di trasmissione e portato al valore logico 0. Quando l ingresso B commuta da 0 a 1, l invertitore in alto pone il nodo P al valore logico 0 e il gate di trasmissione in basso traferisce il valore logico alto sul nodo P. Analogamente, le stesse analisi possono essere effettuate quando l ingresso A è 8

10 Figura 10: Gate di trasmissione: test del dispositivo uguale a 0. Nella Figura 11 è riportato il layout del circuito implementato, mentre nella Figura 12 è riportato un test che illustra le analisi effettuate precedentemente. 3.3 Circuiti per la somma e per il riporto L implementazione di un sommatore con gate di trasmissione prevede tempi di propagazione simili per il segnale di somma e riporto perchè entrambi i segnali attraversano al massimo un gate di trasmissiione e un invertitore. Il segnale P, che deriva dall operazione XOR tra A e B, è usato per selezionare il valore diretto o negato del riporto in ingresso come nuovo valore dell uscita della somma. Inoltre, in funzione del valore del segnale P, il riporto di uscita assume il valore del riporto in ingresso oppure quello dell ingresso A. Il circuito che realizza tutto ciò, è formato da due multiplexer che realizzano le funzioni logiche seguenti: S = (C i P + C i P ) C o = ( C i P + Ā P ) (7) Nelle seguenti condizioni di funzionamento: 9

11 Figura 11: Layout del circuito per la generazione del segnale di propagazione realizzato in Microwind 3.1 C i = 1 ( C i = 0) A = 1 (Ā = 0) si ha: quando P = 1 il secondo gate di trasmissione (dall alto nella Figura 6) è acceso e lascia passare il valore in ingresso C i che, dopo essere stato negato dall invertitore in cascata, rappresenterà il nuovo valore della somma; il nuovo valore per il riporto di uscita sarà ottenuto negando il valore di C i in ingresso al quarto gate di trasmissione (in basso nella Figura 6). Nella Figura 13 è riportato il layout del circuito che calcola la somma e il riporto di uscita, mentre nella Figura 14 è mostrato un test che sintetizza l analisi appena effettuata. 3.4 Full-Adder a 1 bit Il Full-Adder per la somma di due operandi ad un bit viene ottenuto dalla composizione dei circuiti analizzati nelle sezioni precedenti. La Figura 15 mostra il layout del dispositivo, mentre la Figura 16 mostra un test realizzato inserendo in ingresso al sommatore dei segnali variabili per A, B e C in : in particolare la simulazione mette in evidenza come i tempi di propagazione per il segnale di somma e di riporto uscente siano molto simili tra loro. Da come è possibile notare il dispositivo risponde seguendo il comportamento atteso: quando i tre ingressi hanno un valore logico alto, sia il segnale di somma che di riporto uscente assumono un valore logico alto, mentre quando i tre ingressi sono bassi sia la somma che il segnale di riporto uscente commutano da 1 a 0. 10

12 Figura 12: Circuito per la generazione del segnale P: test del dispositivo 3.5 Full-Adder a 4 bit Un sommatore a 4 bit ripple carry (propagazione del riporto) è ottenibile collegando quattro full-adder a 1 bit in cascata connettendo l uscita del riporto del blocco k 1 (C o,k 1 ) al riporto di ingresso del blocco k (C i,k ). Come espresso dalla (6), il tempo di ritardo è proporzionale al numero N di bit delle parole di ingresso. Precedentemente è stato accennato che nel sommatore ripple carry il caso peggiore si verifica quando il riporto è generato nel bit meno significativo e propagato lungo tutta la catena fino al bit più significativo e, inoltre, il valore del riporto è usato nell ultimo stadio per calcolare il bit più significativo della somma. Un analisi sul caso peggiore richiede, quindi, che sia A 0 che B 0 siano uguali a 1, dato che il riporto in ingresso alla catena è sempre 0, mentre tutti gli altri stadi devono essere in stato di propagazione (uno e solo uno tra A i e B i deve essere 1). Infine, è necessario che l uscita della somma del bit più significativo esegua una transizione: se assumiamo che il valore iniziale di S N 1 sia 0, esso dovrà commutare a 1. A tale scopo è sufficiente che A N 1 e B N 1 siano entrambi alti o bassi in modo da ottenere un valore alto della somma quando arriva un riporto con valore 1. Ad esempio, i seguenti valori di A e B danno luogo al caso peggiore per la somma di due numeri interi a 4 bit (LSB a destra): A: 0001 B: 0111 Per misurare il tempo di propagazione al caso peggiore è sufficiente mantenere costanti tutti i bit ad eccezione di A 0 che può essere fatto commutare tra 0 e 1. La Figura 17 11

13 Figura 13: Layout del circuito per il calcolo di somma e riporto di uscita realizzato in Microwind 3.1 mostra il sommatore implementato, mentre nella Figura 18 è riportata la simulazione effettuata per il calcolo del ritardo di propagazione. Considerando i valori di A e B riportati nella (3.5) si ha: t plh = 944 ps t phl = 588 ps t p = t plh + t phl 2 = 766 ps Il ritardo di propagazione calcolato sarà utilizzato, in seguito, per calcolare la frequenza massima a cui il circuito completo in pipeline dovrà lavorare. 4 Il comparatore Un comparatore digitale o comparatore magnitudo (magnitude comparator) è un dispositivo elettronico che, presi due numeri in ingresso in formato binario, determina se un numero è maggiore, minore o uguale all altro. Il comparatore è uno dei dispositivi più usati nelle central processing units (CPU) e nei microcontrollori. Un comparatore è mostrato nella Figura 19 e si può notare come l uscita sia usualmente una variabile di tre bit. (8) 12

14 Figura 14: Circuito per il calcolo di somma e riporto di uscita: test del dispositivo A i B i E i Tabella 2: Tabella di verità per la funzione di uguaglianza Per semplicità, la progettazione del dispositivo, come per il sommatore, è avvenuta seguendo un approccio modulare, ossia è stato prima progettato un comparatore ad 1 bit implementando la sola funzione di uguaglianza e poi, usando tale modulo come componente base, è stato progettato il comparatore di nibble (4 bit) completo. Le funzioni G (A > B), E (A = B) e L (A < B) sono state ricavate utilizzando le corrispondenti tabelle di verità e mediante la semplificazione delle funzioni logiche tramite la tecnica delle Mappe di Karnaugh. Viene riportato di seguito un esempio per il calcolo delle funzioni G, E e L basato su un comparatore a 2 bit (l estensione al caso generale risulta essere alquanto semplice). Un comparatore a 2 bit è un dispositivo con quattro ingressi (A 0, B 0, A 1, B 1 ). Si può affermare che A = B solo se tutti i bit della stessa posizione sono uguali, quindi A i = B i per ogni i. Dalla Tabella 2 si ricava, quindi, che E 0 = A 0 B 0 +Ā0 B 0 e che E 1 = A 1 B 1 + Ā1 B 1. Dire che A = B implica che A i = B i (E i = 1), ossia che E 0 E 1 = 1. Per cui si ricava che la funzione E = ( A 0 B 0 + Ā0 B ) ( 0 A1 B 1 + Ā1 B ) 1. Notando che 13

15 Figura 15: Layout del Full-Adder a 1 bit realizzato in Microwind 3.1 A i B i G i Tabella 3: Tabella di verità per la funzione di maggioranza (x y) = (xy + xȳ) e notando che: E i = A i B i + Āi B i ( A i Bi + ĀiB i ) = = ( Ā i + B i ) ( Ai + B i ) = = ĀiA i + Āi B i + A i B i + B i Bi = = A i B i + Āi B i si può pensare di implementare la funzione E i con: la cascata di due invertitori che negano gli ingressi A i e B i ; due porte AND a due ingressi che effettuano la AND logica A i Bi e B i A i ; una NOR a due ingressi che effettua la somma ( A i Bi + A i Bi ). A > B se A 1 > B 1 o A 1 = B 1 AND (A 0 > B 0 ). Dalla Tabella 3 si può ricavare la funzione logica G come: 14

16 Figura 16: Full-Adder a 1 bit: test del dispositivo G = A 1 B1 + E 1 A 0 B0 = Y 1 + E 1 Y 0 Dualmente A < B se B 1 > A 1 o A 1 = B 1 AND (B 0 > A 0 ), ossia: L = Ā1B 1 + E 1 Ā 0 B 0 Le funzioni G, E e L, come detto, sono facilmente ricavabili anche per il comparatore a 4 bit seguendo le stesse considerazioni fatte. La Figura 20 mostra il comparatore a 4 bit realizzato. Il dispositivo ha otto ingressi (A 3 A 0, B 3 B 0 ) e restituisce il risultato su 3 bit. A = B se A 3 = B 3, A 2 = B 2, A 1 = B 1, A 0 = B 0 per cui la funzione logica E può essere facilmente espressa come: Le funzioni logiche G e L sono, invece: E = E 3 E 2 E 1 E 0 G = A 3 B3 + E 3 A 2 B2 + E 3 E 2 A 1 B1 + E 3 E 2 E 1 A 0 B0 L = Ā3B 3 + E 3 Ā 2 B 2 + E 3 E 2 Ā 1 B 1 + E 3 E 2 E 1 Ā 0 B 0 La Tabella 4 riporta la tabella di verità del dispositivo completo, mentre nella Figura 21 è mostrato il layout realizzato. L analisi effettuata tramite il test di Figura 22 mostra il comportamento del comparatore a 4 bit quando esso viene sollecitato dai seguenti ingressi: A = C000, B = 0000 (LSB a destra) dove C indica che il valore varia tra 0 e 1. Trascurando il transitorio iniziale, si può notare come i segnali E 0, E 1, E 2 e E 3 hanno una transizione 0-1 via via sempre più lenta: ciò è causato dalla struttura delle interconnesioni la cui lunghezza cresce (da sinistra a destra nella Figura 21 - E 0 vede un carico minore, mentre E 3 vede un carico maggiore) causando un aumento della capacità 15

17 A 3, B 3 A 2, B 2 A 1, B 1 A 0, B 0 A > B A < B A = B A 3 > B 3 X X X H L L A 3 < B 3 X X X L H L A 3 = B 3 A 2 > B 2 X X H L L A 3 = B 3 A 2 < B 2 X X L H L A 3 = B 3 A 2 = B 2 A 1 > B 1 X H L L A 3 = B 3 A 2 = B 2 A 1 < B 1 X L H L A 3 = B 3 A 2 = B 2 A 1 = B 1 A 0 > B 0 H L L A 3 = B 3 A 2 = B 2 A 1 = B 1 A 0 < B 0 L H L A 3 = B 3 A 2 = B 2 A 1 = B 1 A 0 = B 0 L L H Tabella 4: Tabella di verità per il comparatore 4 bit. H = High Voltage Level, L = Low Voltage Level, X = Don t Care. parassita che diventa sempre più importante. Sulla commutazione 0-1 del segnale A 3, il dispositivo risponde commutando le uscite in modo che la funzione A = B risulti falsa, mentre la funzione A > B diventa vera. In particolare, il ritardo di propagazione dell uscita A = B risulta essere t p = tphl+tplh 2 = ps = 406 ps che è un tempo inferiore al ritardo calcolato nel caso peggiore per il sommatore a 4 bit. Questa analisi risulterà determinante per il calcolo della massima frequenza computazionale a cui potrà lavorare il circuito in pipeline. Verranno di seguito riportati alcuni dettagli implementativi utilizzati per la progettazione del comparatore a 4 bit in modo da ridurre le capacità parassite delle singole porte logiche. Un esempio molto significativo è rappresentato dalla porta AND a 4 ingressi utilizzata per il calcolo della funzione di uguaglianza. La Figura 23 mostra come per ridurre le capacità di diffusione siano state utilizzate diffusioni in comune sia per la connessione in serie dei transistor NMOS sia per la connessione in parallelo dei transitor PMOS. Per il secondo caso, le interconnessioni sono state realizzate utilizzando alternativamente i contatti collocati tra le piste di polisilicio: il primo come contatto di alimentazione, il secondo per l uscita della porta, il terzo per l alimentazione e così via. 5 Pipeline La pipeline è una tecnologia utilizzata nell architettura hardware dai microprocessori per incrementare il throughput, ovvero la quantità di istruzioni eseguite in una data quantità di tempo, parallelizzando i flussi di elaborazione di più istruzioni: essa aumenta lo sfruttamento delle risorse e il flusso di dati in uscita. Se si considera un blocco combinatorio formato da tre funzioni logiche più o meno complesse e tra di esse si interpongono dei registri che permettono di trattare i dati come un flusso continuo, il vantaggio rispetto all approccio convenzionale risulta evidente. Il blocco combinatorio viene, in questo modo, suddiviso in tre sezioni ciascuna delle quali ha un tempo di propagazione minore rispetto alla logica originaria. Questo riduce effettivamente il valore del minimo periodo 16

18 di clock ammissibile: T min,pipe = t c q + max {t p,logic1, t p,logic2, t p,logic3 } + t su (9) dove t c q è il tempo di progazione dei registri, t su è il tempo di setup dei registri e t p,logic1, t p,logic2, t p,logic3 sono i tempi di ritardo delle singole sezioni della logica combinatoria. Un sistema pipeline può essere realizzato usando latch positivi e negativi a passtransistor al posto di registri edge-triggered. Ad esempio, nella Figura 24, la logica è stata introdotta tra il latch master e quello slave in un sistema master-slave. I sistemi basati su latch offrono maggiore flessibilità per la realizzazione di un pipeline e permettono di ottenere prestazioni migliori. Quando i CLK e CLK sono non sovrapposti si ottiene il corretto funzionamento pipeline: il dato in ingresso viene campionato in C 1 sul fronte di discesa di CLK e l elaborazione del blocco logico F comincia; il risultato del blocco logico F viene immagazzinato su C 2 al fronte di discesa di CLK e quindi l elaborazione del blocco logico G comincia. Nella particolare applicazione presentata in questo progetto, il precedente circuito pipeline è stato leggermente variato: i pass-transistor lasciano passare un valore logico degradato (V DD V T n ) causando un consumo statico di potenza per i circuiti collegati in cascata e comportando una degradazione dei margini di rumore; inoltre, la logica implementata per il sommatore e il comparatore è stata pensata per funzionare su valori logici diretti e non negati, per cui i segnali di ingresso non possono essere prelevati dall uscita degli invertitore riportati in Figura 24, ma devono essere ritenuti validi all uscita dei pass-transistor. L idea sviluppata ha consentito il riutilizzo degli invertitori per pilotare un transistor PMOS di level restorer in modo da ripristinare il valore logico alto (risolvendo in questo modo anche i problemi legati al consumo statico di potenza). Per il corretto funzionamento del circuito, il transistor di level restorer deve essere dimensionato adeguatamente affinchè il pass-transistor possa, durante la fase di scarica, portare la tensione sul proprio nodo di uscita ad un valore inferiore alla tensione di commutazione dell invertitore. Avendo realizzato il pass-transistor con dimensioni minime, il level ) = ( ). Nella Figura 25 e restorer è stato realizzato con un rapporto di aspetto ( W L nella Figura 26 sono riportati le simulazioni del pass-transistor senza e con level restorer: nel secondo caso il segnale p in uscita dal pass-transistor recupera la massima escursione logica a discapito di una piccola perdita di prestazioni. Inoltre, quando la tensione di controllo clock del pass-transistor assume il valore logico basso, l uscita p assume valori di tensione diversi da 0 a causa di correnti di sottosoglia del transistor NMOS. Ciò comporta delle correnti di cammino diretto tra alimentazione e massa nell invertitore posto in cascata causando un consumo statico di potenza. Sulla base delle considerazioni fatte nei paragrafi precedenti, il minimo periodo di clock usato per il controllo delle funzioni logiche in pipeline viene imposto dal ritardo di propagazione del sommatore che risulta essere più elevato del tempo di propagazione del comparatore (tali tempi di propagazione sono stati calcolati considerando i due dispositivi singolarmente e ponendo sul nodo di uscita una capacità parassita di 0.01 pf ). Quando, invece, i due dispositivi vengono inseriti all interno del circuito completo, essi vedranno un carico maggiore dovuto ai parassiti delle piste di interconnessione aggiuntive e al 17

19 fanout più elevato. Il periodo minimo di clock è stato tarato sulla base dei tempi di propagazione relativi al worst case verificati sperimentalmente inserendo come ingresso al dispositivo i valori di A e B tali da ottenere il maggior numero di riporti propagati all interno della catena di ripple-carry. In queste condizioni, il ritardo di propagazione del segnale Sum3 (il bit più significativo in uscita dal sommatore) è di circa 12 ns, come si può osservare dalla Figura 27. Sulla base di queste considerazioni, il periodo minimo del segnale di sincronizzazione è stato scelto di 30 ns. Nella figura Figura 29 è mostrata una simulazione del dispositivo completo dove gli ingressi sono stati scelti come segue: A 3 = 0, A 2 = 0, A 1 = 0, A 0 = V ariabile; B 3 = 0, B 2 = 0, B 1 = 0, B 0 = 0; C in = 0; C 3 = V ariabile, C 2 = 0, C 1 = 0, C 0 = 0. La scelta di tali ingressi ha permesso di ottenere uno scenario semplice da poter analizzare e nel contempo, ha permesso di mostrare il comportamento del dispositivo nel caso in cui gli input sollecitino tutte le uscite. A 0 e C 3 sono stati ottenuti con forme d onda le cui transizioni non avvengono in corrispondenza dei fronti di salita e di discesa dei segnali di sincronizzazione CLK e CLK, poichè essi devono essere mantenuti stabili nel momento in cui il latch commuta ed entra in memorizzazione. La Figura 28 mostra il layout del circuito completo: l occupazione di area non è stata una delle figure di merito principalmente prese in considerazione; tuttavia è possibile notare come l intero circuito occupi circa 94x100 µm 2. 6 La progettazione in VHDL Il VHDL, insieme al Verilog, è il linguaggio più usato per la progettazione di sistemi elettronici digitali. Esso rappresenta uno degli strumenti che ha consentito lo sviluppo di tecniche automatiche usate per la progettazione dei circuiti digitali dalle quali, oramai, non è possibile prescindere soprattutto a causa dell aumento, anno per anno, della complessità circuitale. Il VHDL permette di descrivere il circuito ad un più alto livello di astrazione tramite un approccio comportamentale, tramite funzioni logiche, o un approccio strutturale che fa uso di elementi più semplici ed elementari. Il successo delle tecniche automatiche è comunque dovuto allo sviluppo di strumenti di sintesi che permettono di tradurre questa descrizione in una rete di porte logiche, minimizzando una funzione di costo specifica, quale area, ritardo o potenza. L intero circuito in pipeline, è stato progettato in un secondo momento tramite una descrizione VHDL, per mostrare come un approccio di semi-automatico possa ridurre il tempo di progettazione e facilitare il lavoro del progettista di sistemi digitali rispetto ad un approccio puramente dedicato. Di seguito è riportato il codice per i vari moduli, mentre per brevità sarà effettuata un analisi dettagliata, tramite l ausilio di un testbench, solo del dispositivo completo. Di seguito è riportata l implementazione del Full-Adder a 1 bit con la tecnologia a gate di trasmissione, secondo l appoccio data-flow (ingressi ed uscite sono definiti come un 18

20 flusso concorrente di segnali). Il segnale di propagazione del riporto è calcolato mediante la funzione logica XOR tra i due operandi in ingresso al sommatore, mentre il segnale di somma e riporto uscente tramite la funzione logica che implementa un multiplexer. ENTITY f u l l a d d e r 1 b i t IS PORT( xi, yi, rip_in : IN s t d _ l o g i c ; rip_usc, somma : OUT s t d _ l o g i c ) ; END ENTITY; ARCHITECTURE f u l l a d d e r 1 b i t _ a r c OF f u l l a d d e r 1 b i t IS SIGNAL p, rip_usc_neg, rip_adder, somma_neg : s t d _ l o g i c := 0 ; BEGIN p <= x i XOR y i ; rip_usc_neg <= ( (NOT rip_in ) AND p ) OR ( (NOT x i ) AND (NOT p ) ) ; rip_ usc <= NOT rip_usc_neg ; somma_neg <= ( rip_in AND p ) OR ( (NOT rip_in ) AND (NOT p ) ) ; somma <= NOT somma_neg ; END ARCHITECTURE; Il sommatore a 4 bit è stato progettato tramite un approccio strutturale, dove l elemento basilare utilizzato è il full-adder a 1 bit. ENTITY sommatore4bitrc IS PORT( c_in : IN s t d _ l o g i c ; A, B : IN std_logic_vector (3 downto 0 ) ; c_out : OUT s t d _ l o g i c ; s : OUT std_logic_vector (3 downto 0 ) ) ; END ENTITY; ARCHITECTURE sommatore4bitrc_arc OF sommatore4bitrc IS COMPONENT f u l l a d d e r 1 b i t PORT( xi, yi, rip_in : IN s t d _ l o g i c ; rip_usc, somma : OUT s t d _ l o g i c ) ; END COMPONENT; SIGNAL co0, co1, co2, co3 : s t d _ l o g i c := 0 ; BEGIN c0 : f u l l a d d e r 1 b i t PORT MAP(A( 0 ), B( 0 ), c_in, co0, s ( 0 ) ) ; c1 : f u l l a d d e r 1 b i t PORT MAP(A( 1 ), B( 1 ), co0, co1, s ( 1 ) ) ; c2 : f u l l a d d e r 1 b i t PORT MAP(A( 2 ), B( 2 ), co1, co2, s ( 2 ) ) ; c3 : f u l l a d d e r 1 b i t PORT MAP(A( 3 ), B( 3 ), co2, co3, s ( 3 ) ) ; c_out <= co3 ; 19

21 END ARCHITECTURE; Il comparatore è stato implementato con un approccio data-flow sulla base delle funzioni logiche G, L ed E introdotte precedentemente. ENTITY comparatore4bit IS PORT( x1, x2 : IN std_logic_vector (3 downto 0 ) ; g, e, l : OUT s t d _ l o g i c ) ; END ENTITY; ARCHITECTURE comparatore4bit_arc OF comparatore4bit IS SIGNAL e0, e1, e2, e3 : s t d _ l o g i c := 0 ; BEGIN e0 <= ( x1 ( 0 ) AND x2 ( 0 ) ) OR ( (NOT x1 ( 0 ) ) AND (NOT x2 ( 0 ) ) ) ; e1 <= ( x1 ( 1 ) AND x2 ( 1 ) ) OR ( (NOT x1 ( 1 ) ) AND (NOT x2 ( 1 ) ) ) ; e2 <= ( x1 ( 2 ) AND x2 ( 2 ) ) OR ( (NOT x1 ( 2 ) ) AND (NOT x2 ( 2 ) ) ) ; e3 <= ( x1 ( 3 ) AND x2 ( 3 ) ) OR ( (NOT x1 ( 3 ) ) AND (NOT x2 ( 3 ) ) ) ; e <= e0 AND e1 AND e2 AND e3 ; g <= ( x1 ( 3 ) AND (NOT x2 ( 3 ) ) ) OR ( e3 AND x1 ( 2 ) AND (NOT x2 ( 2 ) ) ) OR ( e3 AND e2 AND x1 ( 1 ) AND (NOT x2 ( 1 ) ) ) OR ( e3 AND e2 AND e1 AND x1 ( 0 ) AND (NOT x2 ( 0 ) ) ) ; l <= ( (NOT x1 ( 3 ) ) AND x2 ( 3 ) ) OR ( e3 AND (NOT x1 ( 2 ) ) AND x2 ( 2 ) ) OR ( e3 AND e2 AND (NOT x1 ( 1 ) ) AND x2 ( 1 ) ) OR ( e3 AND e2 AND e1 AND (NOT x1 ( 0 ) ) AND x2 ( 0 ) ) ; END ARCHITECTURE; L ultimo modulo implementa il segnale di sincronizzazione clock, con un periodo di 30 ns, tramite un approccio comportamentale. Il VHDL tramite il costrutto Process, permette di descrivere il comportamento di un dispositivo tramite una serie di statement che verranno eseguiti sequenzialmente e non concorrentemente come, invece, avviene per l approccio data-flow. ENTITY c l o c k IS PORT( c l k : OUT s t d _ l o g i c ) ; END ENTITY; ARCHITECTURE clock_arc OF c l o c k IS 20

22 SIGNAL c l k _ s i g : s t d _ l o g i c := 1 ; BEGIN PROCESS( c l k _ s i g ) VARIABLE clock_val : s t d _ l o g i c := 1 ; BEGIN IF clock_val = 0 THEN clock_val := 1 ; ELSE clock_val := 0 ; END IF ; c l k _ s i g <= clock_val AFTER 15 ns ; END PROCESS; c l k <= c l k _ s i g ; END ARCHITECTURE; Ottenuti gli elementi che implementano la nostra logica funzionale, il dispositivo completo può essere ottenuto facilmente tramite un approccio strutturale, componendo i moduli presentati precedentemente. Il comportamento dei pass-transistor è stato modellato lasciando commutare gli ingressi alla logica combinatoria sugli opportuni livelli e fronti del segnale di sincronizzazione utilizzando usando la clausola W HEN del linguaggio VHDL. ENTITY p i p e l i n e IS PORT(Op1, Op2, Op3 : IN std_logic_vector (3 downto 0 ) ; gr, eq, l o : OUT s t d _ l o g i c ) ; END ENTITY; ARCHITECTURE p i p e l i n e _ a r c OF p i p e l i n e IS COMPONENT sommatore4bitrc PORT( c_in : IN s t d _ l o g i c ; A, B : IN std_logic_vector (3 downto 0 ) ; c_out : OUT s t d _ l o g i c ; s : OUT std_logic_vector (3 downto 0 ) ) ; END COMPONENT; COMPONENT comparatore4bit PORT( x1, x2 : IN std_logic_vector (3 downto 0 ) ; g, e, l : OUT s t d _ l o g i c ) ; END COMPONENT; COMPONENT c l o c k PORT( c l k : OUT s t d _ l o g i c ) ; END COMPONENT; SIGNAL in1, in2, in3, in4, r i s 1 : std_logic_vector (3 downto 0) := " 0000 " ; SIGNAL r i s g, r i s e, r i s l, clk_in, cout : s t d _ l o g i c := 0 ; BEGIN c0 : sommatore4bitrc PORT MAP( 0, in1, in2, cout, r i s 1 ) ; c1 : comparatore4bit PORT MAP( in3, in4, r i s g, r i s e, r i s l ) ; 21

23 TestBench VHDL Analisi in Microwind 3.1 clk_in op1 op2 op3 in1 in2 in3 in4 equal greater lower Clock A B C A-IN B-IN C-IN Sum-IN Out0 Out1 Out2 Tabella 5: Corrispondenza tra i segnali del testbench VHDL e i segnali dell analisi del layout completo c2 : c l o c k PORT MAP( clk_in ) ; in1 <= Op1 WHEN clk_in = 1 OR ( clk_in = 0 AND clk_in event ) ; in2 <= Op2 WHEN clk_in = 1 OR ( clk_in = 0 AND clk_in event ) ; in3 <= Op3 WHEN clk_in = 0 OR ( clk_in = 1 AND clk_in event ) ; in4 <= r i s 1 WHEN clk_in = 0 OR ( clk_in = 1 AND clk_in event ) ; gr <= r i s g WHEN clk_in = 1 OR ( clk_in = 0 AND clk_in event ) ; eq <= r i s e WHEN clk_in = 1 OR ( clk_in = 0 AND clk_in event ) ; l o <= r i s l WHEN clk_in = 1 OR ( clk_in = 0 AND clk_in event ) ; END ARCHITECTURE; Per analizzare il comportamento del dispositivo, è stato implementato tramite un testbench lo stesso esempio riportato per il circuito progettato in Microwind 3.1 (Figura 29). I segnali in1, in2, in3 e in4 (vettori di 4 elementi) sono rispettivamente: il primo operando del sommatore a 4 bit prelevato dopo il pass-transistor in ingresso; il secondo operando del sommatore a 4 bit prelevato dopo il pass-transistor in ingresso; il primo operando del comparatore a 4 bit prelevato dopo il corrispondente pass-transistor; il secondo operando in ingresso al comparatore a 4 bit prelevato dopo il pass-transistor il cui ingresso è collegato all uscita del sommatore. I segnali Op1, Op2 e Op3 sono gli operandi in ingresso al circuito in pipeline, quindi i segnali posti in ingresso ai pass-transistor. La Tabella 5 mostra la corrispondenza tra i segnali utilizzati nel testbench di Figura 30 e i segnali riportati nel test di Figura 29. ENTITY t e s t IS 22

24 END ENTITY; ARCHITECTURE test_arc OF t e s t IS COMPONENT p i p e l i n e PORT(Op1, Op2, Op3 : IN std_logic_vector (3 downto 0 ) ; gr, eq, l o : OUT s t d _ l o g i c ) ; END COMPONENT; SIGNAL op1_val, op2_val, op3_val : std_logic_vector (3 downto 0) := " 0000 " ; SIGNAL g r e a t e r, equal, lower : s t d _ l o g i c := 0 ; BEGIN c0 : p i p e l i n e PORT MAP( op1_val, op2_val, op3_val, g r e a t e r, equal, lower ) ; op1_val <= " 0001 " AFTER 0 ns, " 0000 " AFTER 33 ns, " 0001 " AFTER 66 ns, " 0000 " AFTER 99 ns, " 0001 " AFTER 132 ns, " 0000 " AFTER 165 ns ; op2_val <= " 0000 " ; op3_val <= " 1000 " AFTER 0 ns, " 0000 " AFTER 19 ns, " 1000 " AFTER 38 ns, " 0000 " AFTER 57 ns, " 1000 " AFTER 76 ns, " 0000 " AFTER 95 ns, " 1000 " AFTER 114 ns, " 0000 " AFTER 133 ns, " 1000 " AFTER 152 ns, " 0000 " AFTER 171 ns ; END ARCHITECTURE; 23

25 Figura 17: Layout del sommatore a 4 bit realizzato in Microwind

26 Figura 18: Sommatore a 4 bit: test del dispositivo Figura 19: Schematizzazione di un comparatore 25

27 Figura 20: 4-Bit Magnitude Comparator 26

28 Figura 21: 4-Bit Magnitude Comparator realizzato in Microwind 3.1 Figura 22: 4-Bit Magnitude Comparator: test del dispositivo 27

29 Figura 23: Dettaglio implementativo: AND a 4 ingressi Figura 24: Funzionamento del circuito pipeline a due fasi che utilizza registri dinamici 28

30 Figura 25: Layout Pass-Transistor: test del dispositivo Figura 26: Layout Pass-Transistor con level restorer: test del dispositivo 29

31 Figura 27: Worst Case usato per il calcolo dei tempi di ritardo 30

32 Figura 28: Layout del circuito completo in pipeline 31

33 Figura 29: Test del dispositivo finale 32

34 Figura 30: TestBench dell implementazione VHDL del dispositivo 33

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Componenti notevoli combinatori

Componenti notevoli combinatori Corso di Laurea in Informatica Componenti notevoli combinatori Architettura dei Calcolatori Prof. Andrea Marongiu andrea.marongiu@unimore.it Anno accademico 2018/19 Demultiplexer / Decoder (1/2) Il demultiplexer

Dettagli

Reti combinatorie. Reti combinatorie (segue)

Reti combinatorie. Reti combinatorie (segue) Reti combinatorie Sommatore Sottrattore Reti sequenziali Generatore di sequenze Riconoscitore di sequenze Reti combinatorie PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà

Dettagli

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1

Reti combinatorie (segue) Reti combinatorie. Lezione 2. Architettura degli Elaboratori A. Sperduti 1 Reti combinatorie Reti sequenziali Sommatore Sottrattore Generatore di sequenze Riconoscitore di sequenze PROGRAMMAZIONE Il programmatore riporta le istruzioni che il calcolatore dovrà eseguire, in un

Dettagli

4 STRUTTURE CMOS. 4.1 I componenti CMOS

4 STRUTTURE CMOS. 4.1 I componenti CMOS 4.1 4 STRUTTURE CMOS 4.1 I componenti CMOS Un componente MOS (Metal-Oxide-Silicon) transistor è realizzato sovrapponendo vari strati di materiale conduttore, isolante, semiconduttore su un cristallo di

Dettagli

Le porte logiche. Elettronica L Dispense del corso

Le porte logiche. Elettronica L Dispense del corso Le porte logiche Elettronica L Dispense del corso Gli Obiettivi Introdurre il concetto di funzione logica. Dare una corrispondenza tra funzioni logiche e strutture di gate elementari. Introdurre l algebra

Dettagli

SisElnF1 12/21/01. F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori

SisElnF1 12/21/01. F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI F CIRCUITI COMBINATORI E SEQUENZIALI F1 Circuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti sequenziali

Dettagli

Prima esercitazione. a.a

Prima esercitazione. a.a Prima esercitazione Progetto e simulazione di una semplice rete combinatoria Obiettivi Eseguire tutti i passi del flusso di progettazione Analizzare la struttura di un file.vhd Analizzare i costrutti principali

Dettagli

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione

anno scolastico 2009 / 2010 ELETTRONICA per Elettrotecnica ed Automazione CIRCUITI COMBINATORI Un circuito combinatorio (o rete combinatoria) è un insieme interconnesso di porte logiche il cui output, istante per istante dipende unicamente dallo stato che gli ingressi della

Dettagli

SisElnF1 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori

SisElnF1 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti sequenziali

Dettagli

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Circuiti Addizionatori

Reti Logiche 1. Prof. B. Buttarazzi A.A. 2009/2010. Circuiti Addizionatori Reti Logiche 1 Prof. B. Buttarazzi A.A. 2009/2010 Circuiti Addizionatori Sommario Circuiti addizionatori Half-Adder Full-Adder CLA (Carry Look Ahead) 21/06/2010 Corso di Reti Logiche 2009/10 2 Addizionatori

Dettagli

Circuti AND, OR, NOT Porte logiche AND

Circuti AND, OR, NOT Porte logiche AND Circuti AND, OR, NOT Porte logiche AND OR NOT A B C Esempio E = ~((AB) + (~BC)) E NAND e NOR NAND (AND con uscita negata): ~(A B) NOR (OR con uscita negata): ~(A+B) Si può dimostrare che le operazioni

Dettagli

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali Porte logiche di base Cenni circuiti, reti combinatorie, reti sequenziali NOT AND A R A B R OR A R B Quindi NAND o NOR sono complete circuiti con solo porte NAND o solo porte NOR. Reti combinatorie Rete

Dettagli

Multiplexer. Multiplexer 2 a 1 (a 1 bit) e sua implementazione. Multiplexer 2 a 1 (a 32 bit) e sua implementazione

Multiplexer. Multiplexer 2 a 1 (a 1 bit) e sua implementazione. Multiplexer 2 a 1 (a 32 bit) e sua implementazione Decoder Circuito combinatorio con n input e 2 n output Traduce gli n bit di input nell equivalente valore binario, e abilita a 1 l uscita corrispondente, mentre le altre uscite sono disabilitate a 0 Esiste

Dettagli

SISTEMI. impostazione SISTEMI. progettazione. Saper utilizzare modelli di circuiti combinatori

SISTEMI. impostazione SISTEMI. progettazione. Saper utilizzare modelli di circuiti combinatori E1y - Presentazione del gruppo di lezioni E 1/3- Dove siamo? A SISTEMI impostazione componenti analogici C D E componenti digitali F SISTEMI progettazione E1y - Presentazione del gruppo di lezioni E 2/3-

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 30/3/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Esercitazione del 21 Maggio 2008

Esercitazione del 21 Maggio 2008 Esercitazione del 1 Maggio 008 Es. 1 - pmos in configurazione drain comune 1) Con riferimento al circuito in Fig. 1, determinare le regioni di funzionamento del transistore Mp nel piano V out (V in ).

Dettagli

Circuiti combinatori notevoli

Circuiti combinatori notevoli Architettura degli Elaoratori e delle Reti Lezione 5 Circuiti cominatori notevoli F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 5 1 Comparatore! Confronta parole

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Esercitazione del 23/03/ Soluzioni

Esercitazione del 23/03/ Soluzioni Esercitazione del 23/03/2006 - Soluzioni ) Addizionatore Half Adder (senza riporto in ingresso): A B S R 0 0 0 0 0 0 0 0 0 S = A B R = A B A B Half Adder S R A S R B N.Porte = 2 Cammino Critico S =, R

Dettagli

Circuiti e reti combinatorie. Appendice A (libro italiano) + dispense

Circuiti e reti combinatorie. Appendice A (libro italiano) + dispense Circuiti e reti combinatorie Appendice A (libro italiano) + dispense Linguaggio del calcolatore Solo assenza o presenza di tensione: o Tante componenti interconnesse che si basano su e Anche per esprimere

Dettagli

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali Porte logiche di base Cenni circuiti, reti combinatorie, reti sequenziali NOT AND A R A B R OR A R B Quindi NAND o NOR sono complete circuiti con solo porte NAND o solo porte NOR. Reti combinatorie Rete

Dettagli

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005 Tecnologia CMOS Ing. Ivan lunno 2 aprile 25 Introduzione In questa dispensa verranno presentati i circuiti CMOS (Complementary MOS). Nella prima parte verrà analizzato in dettaglio il funzionamento di

Dettagli

Esame Elettronica T-1 Prof. Elena Gnani 19/09/2014

Esame Elettronica T-1 Prof. Elena Gnani 19/09/2014 Esercizio : Con riferimento al circuito illustrato in Fig. e ai valori assegnati dei parametri si risponda ai seguenti quesiti: Parametri del problema V DD=V; n=00 A/V ; p=00 A/V ; V TN=0.5V; V TP=-0.5V;

Dettagli

Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso

Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso ontatori binari Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso L impulso di ingresso o impulso di conteggio può coincidere

Dettagli

Fondamenti di Informatica

Fondamenti di Informatica Fondamenti di Informatica Prof. Arcangelo Castiglione A.A. 2017/18 Outline Algebra di Boole Relazione con i Circuiti Logici Elementi Costitutivi Operatori Logici Elementari Funzioni Logiche (o Booleane)

Dettagli

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali Elettronica dei Sistemi igitali Registri di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI LOGICHE: RETI COMBINATORIE Massimiliano Giacomin 1 INTRODUZIONE: LIVELLI HARDWARE, LIVELLO LOGICO PORTE LOGICHE RETI LOGICHE 2 LIVELLI HARDWARE Livello funzionale Livello logico

Dettagli

. Nota: le tensioni dono riferite all'ingresso ed all'uscita dello stesso circuito. G. Martines 1

. Nota: le tensioni dono riferite all'ingresso ed all'uscita dello stesso circuito. G. Martines 1 Invertitore logico (NOT) La caratteristica di trasferimento in tensione (VTC) Per un ingresso logico 0, cioè v I V IL l'uscita logica è 1, cioè v O V OH ; per ingresso 1 cioè v I V IH uscita 0, cioè v

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 18/1/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Elettronica digitale

Elettronica digitale Elettronica digitale Porte logiche a rapporto e a pass transistor Andrea Bevilacqua UNIVERSITÀ DI PADOVA a.a 2008/09 Elettronica digitale p. 1/22 Introduzione In questa lezione analizzeremo modalità di

Dettagli

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali ESERCITAZIONE 4.5 Approfondimento Circuiti Logici e Sequenziali 2 Approfondimento: multiplexer 3 Multiplexer: soluzione alternativa Multiplexer: composizione interna 4 Multiplexer: soluzione alternativa

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli

Circuiti sincroni circuiti sequenziali:bistabili e latch

Circuiti sincroni circuiti sequenziali:bistabili e latch Architettura degli Elaboratori e delle Reti Lezione 8 Circuiti sincroni circuiti sequenziali:bistabili e latch Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli

Dettagli

Lezione 7 Sommatori e Moltiplicatori

Lezione 7 Sommatori e Moltiplicatori Architettura degli Elaboratori e delle Reti Lezione 7 Sommatori e Moltiplicatori Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 7 /36 Sommario

Dettagli

Componenti per l aritmetica binaria

Componenti per l aritmetica binaria Componenti per l aritmetica binaria M. Favalli Engineering Department in Ferrara (ENDIF) Reti logiche 1 / 29 Sommario 1 Introduzione 2 Sommatori binari 3 Applicazioni di n-bit adder 4 Sommatore CLA (ENDIF)

Dettagli

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna

Logica binaria. Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna Logica binaria Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 Rappresentazione dell'informazione I calcolatori

Dettagli

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012 Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/2011 3 Appello 09 Febbraio 2012 Indicare chiaramente la domanda a cui si sta rispondendo. Ad esempio 1a) Esercizio 1. R 1 = 20 kω, R 2

Dettagli

I sommatori S R. R in. Full. Adder

I sommatori S R. R in. Full. Adder I sommatori 1) ddizionatore Half dder (senza riporto in ingresso): 0 0 0 0 0 1 1 1 Half dder = = N.Porte = 2 Cammino Critico = 1, = 1 2) ddizionatore Full dder ( con riporto in ingresso ): in out 0 0 0

Dettagli

I circuiti logici: definizione delle funzioni logiche

I circuiti logici: definizione delle funzioni logiche I circuiti logici: definizione delle funzioni logiche Prof. lberto orghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimenti al testo: ppendice C, sezioni C.1

Dettagli

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1.

PORTE LOGICHE. Si effettua su due o più variabili, l uscita assume lo stato logico 1 se almeno una variabile di ingresso è allo stato logico 1. PORTE LOGICHE Premessa Le principali parti elettroniche dei computer sono costituite da circuiti digitali che, come è noto, elaborano segnali logici basati sullo 0 e sull 1. I mattoni fondamentali dei

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015 Appello d esame del 17/6/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: Comportamento dinamico dei circuiti logici Elettronica II - Dante Del Corso - Gruppo B - 7

Dettagli

Tecniche di Progettazione Digitale Elementi di memoria CMOS e reti sequenziali p. 2

Tecniche di Progettazione Digitale Elementi di memoria CMOS e reti sequenziali p. 2 Tecniche di Progettazione igitale Elementi di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Note sul dimensionamento delle porte CML ed ECL.

Note sul dimensionamento delle porte CML ed ECL. Note sul dimensionamento delle porte ML ed L. imensionamento delle porte ML. La più semplice porta logica in tecnologia bipolare non saturata, è il circuito pilotato in corrente (ML o current-mode logic),

Dettagli

Riassunto tecnica digitale

Riassunto tecnica digitale Introduzione... 2 Operazioni... 4 OR...4 AND...4 XOR...5 Operatori logici... 5 Negazione... 6 Ottimizzare mediante il teorema di De Morgan. 7 VHDL...8 Contatori...10 Multiplexer... 11 Demultiplexer...12

Dettagli

Architettura degli Elaboratori, Esercitazione 1

Architettura degli Elaboratori, Esercitazione 1 Architettura degli Elaboratori, 2008-09 Esercitazione 1 Ogni esercitazione ha lo scopo di servire da guida per la preparazione su una specifica parte del corso. È fortemente consigliato che lo studente

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Logica Digitale. Fondamenti di Informatica - Prof. Gregorio Cosentino

Logica Digitale. Fondamenti di Informatica - Prof. Gregorio Cosentino Logica Digitale 1 Ma in fondo quali sono i mattoncini che compongono un calcolatore elettronico? Porte Circuiti Aritmetica Memorie Bus I/O And, Or, Nand, Nor, Not Multiplexer, Codif, Shifter, ALU Sommatori

Dettagli

Fondamenti di Informatica B

Fondamenti di Informatica B Fondamenti di Informatica B Lezione n. 8 Alberto Broggi Gianni Conte A.A. 2005-2006 Fondamenti di Informatica B DESCRIZIONE LIVELLO REGISTRO REGISTER TRANSFER LEVEL (RTL) I MODULI BASE RTL STRUTTURE DI

Dettagli

Esercizio Il circuito in figura denominato ADD4 effettua l operazione di addizione su numeri binari interi senza segno di quattro bit (S = A + B).

Esercizio Il circuito in figura denominato ADD4 effettua l operazione di addizione su numeri binari interi senza segno di quattro bit (S = A + B). Esercizio Il circuito in figura denominato ADD4 effettua l operazione di addizione su numeri binari interi senza segno di quattro bit (S = A + B). A[4:1] B[4:1] ADD4 S[x:1] Si richiede 1. Il valore di

Dettagli

Moltiplicatori HW e ALU

Moltiplicatori HW e ALU Moltiplicatori HW e ALU Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimenti: Appendice B5 prima parte. Per approfondimenti

Dettagli

Struttura di un circuito dinamico

Struttura di un circuito dinamico - valori logici si basano sull'immagazzinamento temporaneo della carica sulle capacità di nodi ad alta impedenza del circuito - porte logiche più semplici e veloci di quelle di tipo statico - progetto

Dettagli

Richiami di Algebra di Commutazione

Richiami di Algebra di Commutazione LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n Prof. Rosario Cerbone rosario.cerbone@libero.it http://digilander.libero.it/rosario.cerbone a.a. 6-7 Richiami di Algebra di Commutazione In questa

Dettagli

Architettura degli Elaboratori e Laboratorio. Matteo Manzali Università degli Studi di Ferrara Anno Accademico

Architettura degli Elaboratori e Laboratorio. Matteo Manzali Università degli Studi di Ferrara Anno Accademico Architettura degli Elaboratori e Laboratorio Matteo Manzali Università degli Studi di Ferrara Anno Accademico 2016-2017 Algebra booleana L algebra booleana è un particolare tipo di algebra in cui le variabili

Dettagli

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali Porte logiche Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Una porta logica

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

Circuiti Combinatori

Circuiti Combinatori Circuiti Combinatori circuiti combinatori sono circuiti nei quali le uscite dipendono solo dalla combinazione delle variabili logiche presenti nello stesso istante all ingresso Essi realizzano: Operazioni

Dettagli

Algebra e circuiti elettronici

Algebra e circuiti elettronici Algebra e circuiti elettronici I computer operano con segnali elettrici con valori di potenziale discreti Sono considerati significativi soltanto due potenziali (high/ low); i potenziali intermedi, che

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti

I circuiti digitali: dalle funzioni logiche ai circuiti Architettura dei calcolatori e delle Reti Lezione 4 I circuiti digitali: dalle funzioni logiche ai circuiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Architettura degli Elaboratori A Modulo 2

Architettura degli Elaboratori A Modulo 2 ALU Architettura degli Elaboratori A Modulo 2 ALU slides a cura di Andrea Torsello e Salvatore Orlando ( Unit ALU (Arithmetic Logic circuito combinatorio all interno del processore per l esecuzione di

Dettagli

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

Esercitazione 11. Control-Unit. Data-Path

Esercitazione 11. Control-Unit. Data-Path Esercitazione 11 Sommario Unità di controllo cablate 1. Unità di controllo L architettura interna di una CPU può essere modellata attraverso una struttura costituita da 2 unità interagenti: percorso dati

Dettagli

Circuiti digitali combinatori

Circuiti digitali combinatori Circuiti digitali combinatori Parte 1 Definizioni George Boole George Boole (Lincoln, 2 novembre 1815 Ballintemple, 8 dicembre 1864) Matematico e logico britannico Considerato il fondatore della logica

Dettagli

I circuiti binari: definizione delle funzioni logiche

I circuiti binari: definizione delle funzioni logiche I circuiti binari: definizione delle funzioni logiche Prof. lberto orghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano /38 Sommario Variabili ed operatori

Dettagli

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is

architecture tipo_architettura of nome_del_modulo is begin architecture tipo_architettura of nome_del_modulo is Struttura file.vhd. library IEEE; use IEEE.std_logic_64.all; use IEEE.std_logic_arith.all; link a librerie e package entity nome_del_modulo is port ( term,term2 : in std_logic; term3,term4 : out std_logic)

Dettagli

Unità Aritmetico-Logica

Unità Aritmetico-Logica Unità Aritmetico-Logica A ritmethic L ogic U nit E l unità che esegue le operazioni aritmetiche e le operazioni logiche AND e OR 1-bit ALU : è una componente dell ALU che produce un singolo bit sui 32

Dettagli

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione

Capitolo 1 Circuiti integrati digitali. Capitolo 2 L invertitore CMOS. Introduzione Indice Introduzione I VII Capitolo 1 Circuiti integrati digitali 1.0 Introduzione 1 1.1 Processo di integrazione CMOS 2 1.2 Caratteristiche elettriche dei materiali 11 1.2.1 Resistenza 11 1.2.1.1 Contatti

Dettagli

pdseln 1 SISTEMI ELETTRONICI Ingegneria dell Informazione Modulo Obiettivi del gruppo di lezioni D Obiettivi di questa lezione (D2)

pdseln 1 SISTEMI ELETTRONICI Ingegneria dell Informazione Modulo Obiettivi del gruppo di lezioni D Obiettivi di questa lezione (D2) Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI - Sommatore Digitale:» Definizione delle caratteristiche funzionali di un blocco di elaborazione digitale»

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo:

Dettagli

Circuiti di base e ALU. Lorenzo Dematte

Circuiti di base e ALU. Lorenzo Dematte Circuiti di base e ALU Lorenzo Dematte (dematte@ieee.org) Multiplexer Multiplexer Decodificatore demux CPU ALU: Arithmetic Logic Unit CU: Control Unit Aritmetica con reti logiche I circuiti realizzano

Dettagli

Circuiti combinatori notevoli

Circuiti combinatori notevoli Circuiti combinatori notevoli Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimenti: Sezione C3. 1/33 Sommario Implementazione

Dettagli

Esercizi Risolti RETI LOGICHE T (Modulo 2)

Esercizi Risolti RETI LOGICHE T (Modulo 2) Esercizio 1 Utilizzando l approccio visto nella realizzazione dell adder binario interno alla ALU si esegua il procedimento di sintesi del componente ADDER_5 4 che sommi operandi in base 4 (es 3+3=12;

Dettagli

Forme canoniche, circuiti notevoli, criteri di ottimizzazione

Forme canoniche, circuiti notevoli, criteri di ottimizzazione Architettura degli Elaboratori e delle Reti Lezione 5 Forme canoniche, circuiti notevoli, criteri di ottimizzazione Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università

Dettagli

Tecniche di semplificazione. Circuiti digitali notevoli

Tecniche di semplificazione. Circuiti digitali notevoli Architettura degli Elaboratori e delle Reti Lezione 5 Tecniche di semplificazione Circuiti digitali notevoli F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano A.A.

Dettagli

I CONTATORI. Definizioni

I CONTATORI. Definizioni I CONTATORI Definizioni. I contatori sono dispositivi costituiti da uno o più flip-flop collegati fra loro in modo da effettuare il conteggio di impulsi applicati in ingresso. In pratica, i flip-flop,

Dettagli

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali Porte logiche Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Una porta logica

Dettagli

Circuiti statici, dinamici e circuiti sequenziali. Esercizio A 15/07/2007

Circuiti statici, dinamici e circuiti sequenziali. Esercizio A 15/07/2007 ircuiti statici, dinamici e circuiti sequenziali. Esercizio A 15/07/007 Il circuito di figura è statico o dinamico? Illustrare la funzione del transistore TR Il transistor TR ha il compito di mantenere

Dettagli

Progetto di Circuiti Aritmetici

Progetto di Circuiti Aritmetici Progetto di Circuiti Aritmetici Maurizio Palesi Maurizio Palesi 1 Introduzione Caratteristiche principali di valutazione Velocità Valutata per il caso peggiore Costo Precisione Es., operazioni in virgola

Dettagli

Dalla tabella alla funzione canonica

Dalla tabella alla funzione canonica Dalla tabella alla funzione canonica La funzione canonica è la funzione logica associata alla tabella di verità del circuito che si vuole progettare. Essa è costituita da una somma di MinTerm con variabili

Dettagli

06AZN - Fondamenti di Informatica (GES, LOP, ORG) - esercitazione del 8/10/08 - v ā b + b c + ā c =...

06AZN - Fondamenti di Informatica (GES, LOP, ORG) - esercitazione del 8/10/08 - v ā b + b c + ā c =... Esercizio 1 Si dimostri se la seguente espressione ooleana è un eguaglianza o meno: a b + b c + a c = ā b + b c + ā c [ è un eguaglianza ] pplicando le proprietà dell algebra ooleana e lavorando esclusivamente

Dettagli

Page 1. SisElnE1bis 1/10/ DDC 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E

Page 1. SisElnE1bis 1/10/ DDC 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LCIDI COMPLEMENTRI SEDE DI IVRE - 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi - consumo

Dettagli

Esercitazione dell 11 Giugno 2008

Esercitazione dell 11 Giugno 2008 Esercitazione dell 11 Giugno 2008 Es. 1 - Progetto memoria ROM 1) Progettare una memoria ROM a NOR (ad esclusione dei decoder di riga) che memorizzi le seguenti quattro parole di quattro bit: W 0 0 1 0

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Valentino Liberali Dipartimento di Fisica Università degli Studi di Milano valentino.liberali@unimi.it Elettronica

Dettagli

Logica binaria. Cap. 1.1 e 2.1 dispensa

Logica binaria. Cap. 1.1 e 2.1 dispensa Logica binaria Cap.. e 2. dispensa Moreno Marzolla Dipartimento di Informatica Scienza e Ingegneria (DISI) Università di Bologna http://www.moreno.marzolla.name/ Logica binaria 2 / 24 Rappresentazione

Dettagli

Architettura degli Elaboratori. Davide Bertozzi Dipartimento di Ingegneria Università of Ferrara. Componenti Combinatori Standard

Architettura degli Elaboratori. Davide Bertozzi Dipartimento di Ingegneria Università of Ferrara. Componenti Combinatori Standard Architettura degli Elaboratori Davide Bertozzi Dipartimento di Ingegneria Università of Ferrara Componenti Combinatori Standard Riassunto: Semplificazione Primo procedimento: utilizzo di tecniche algebriche

Dettagli

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP)

I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) I circuiti digitali: dalle funzioni logiche ai circuiti (le SOP) Prof. Alberto Borghese Dipartimento di Informatica borghese@di.unimi.it Università degli Studi di Milano Riferimento al testo: Sezione C.3;

Dettagli

Esercitazione III Simulazione PSpice dell invertitore CMOS

Esercitazione III Simulazione PSpice dell invertitore CMOS Esercitazione III Simulazione PSpice dell invertitore CMOS Come è noto, nei circuiti CMOS vengono utilizzati sia dispositivi a canale N sia dispositivi a canale P. La principale differenza fra i due tipi

Dettagli

INVERTER IN CASCATA. Ponendo. t f = A N C L /β n = R n C L. e analogamente per t r per la coppia di inverter si ha. Se W p =2W n

INVERTER IN CASCATA. Ponendo. t f = A N C L /β n = R n C L. e analogamente per t r per la coppia di inverter si ha. Se W p =2W n INVERTER IN CASCATA Ponendo t f = A N C L /β n = R n C L e analogamente per t r per la coppia di inverter si ha Se W p =2W n T inv,pair = R3Ceq+ 3RC eq Se W p =W n t inv, pair = R2C eq + 2R2C eq =6RC eq

Dettagli

Architettura degli Elaboratori

Architettura degli Elaboratori circuiti combinatori: ALU slide a cura di Salvatore Orlando, Marta Simeoni, Andrea Torsello 1 ALU ALU (Arithmetic Logic Unit) circuito combinatorio all interno del processore per l esecuzione di istruzioni

Dettagli

Introduzione ed elementi dell'algebra di Boole

Introduzione ed elementi dell'algebra di Boole Introduzione ed elementi dell'algebra di Boole CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) Università degli Studi di Napoli Federico II Il Calcolatore Elettronico è un sistema:»

Dettagli

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano

Corso di Circuiti Logici Appunti e Approfondimenti A. Di Stefano 1 Aritmetica frazionaria e fixed point Nella maggior parte delle applicazioni i numeri reali sono approssimati con numeri binari che ne rappresentano la parte intera e quella frazionaria. Il numero di

Dettagli

Elettronica I Porte logiche CMOS

Elettronica I Porte logiche CMOS Elettronica I Porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/ liberali Elettronica

Dettagli

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr;

Modello sequenziale. Modello sequenziale: i Process 13/11/2014. ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; Modello sequenziale: i Process Modello sequenziale ENTITY ffsr IS Port ( s,r: IN std_logic; q, qn: OUT std_logic); END ffsr; ARCHITECTURE seq OF ffsr IS ( r PROCESS(s, IF s = 1 AND r = 0 THEN q

Dettagli