Collaudo (testing) dei sistemi digitali

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Collaudo (testing) dei sistemi digitali"

Transcript

1 Collaudo (testing) dei sistemi digitali Realizzazione di sistemi digitali (VLSI) Collaudo e verifica Collaudo ideale e collaudo reale Costo del collaudo Ruolo del collaudo Struttura di un sistema VLSI - system-on-a-chip (SOC) Contenuti del corso Parte I: Introduzione al collaudo Parte II: Metodi di collaudo Parte III: Design for testability (DFT) 1 Processo di realizzazione di sistemi VLSI Specifiche del cliente Specifiche reali Specifiche formali Sintesi e verifica Sviluppo del collaudo Produzione Collaudo di produzione Autocollaudo Chip ai clienti 2 1

2 Definizioni Sintesi: data una funzione di I/O, si sviluppa una procedura per costruire un dispositivo utilizzando materiali e processi noti. Verifica: analisi per assicurare che il progetto sintetizzato, svolgerà la funzione di I/O assegnata una volta costruito il circuito. Collaudo: un passo di produzione che assicura che il dispositivo fisico, costruito a partire dal progetto sintetizzato sia privo di diffetti di produzione. 3 Attenzione Sintesi, verifica e collaudo non possono essere considerati come separati. La definizione di collaudo è restrittiva in quanto è importante proteggersi anche da malfunzionamenti che si presentano durante le normali operazioni del circuito. 4 2

3 Verifica vs. Collaudo Verifica la correttezza del progetto. Verifica la correttezza dell hardware. Simulazione, emulazione hardware, o metodi formali. Svolta una volta prima della produzione. Responsabile per progetti di qualità. Processo: 1. Test generation: processo software svolto durante il progetto 2. Test application: test elettrici applicati all hardware Ripetuto per tutti i dispositivi prodotti. Responsabile per la qualità dei dispositivi. 5 Collaudo ideale Il collaudo ideale rivela tutti i possibili difetti che si manifestano nel progetto di produzione. Lascia passare tutti i dispositivi funzionanti correttamente. I difetti da collaudare sono in grande numero e varietà. Difficoltà nel generare test per alcuni difetti reali reali. Defect-oriented testing. 6 3

4 Collaudo reale Basato su modelli di guasto, che possono avere una corrispondenza parziale con i difetti reali. Copertura incompleta sui guasti modellati stessi a causa della complessità dei sistemi. Alcuni chip corretti sono scartati. La loro frazione (o percentuale) si dice yield loss. Alcuni chip difettosi passano il collaudo. La loro frazione (o percentuale) si dice defect level. 7 Testing come un filtro Good chips Prob(good) ) = y Fabricated chips Defective chips Prob(bad) ) = 1- y Prob(pass test) = high Prob(fail test) = low Prob(pass test) = low Prob(fail test) = high Mostly good chips Mostly bad chips 8 4

5 Costi del collaudo Design for testability (DFT) Chip area aggiuntiva e riduzione della resa Degrado delle prestazioni Processi software Test generation e fault simulation Test programming e debugging Collaudo di produzione Automatic test equipment (ATE) 9 Design for Testability (DFT) Progettazione orientata al collaudo: stili e e metodologie di progetto che riducono la complessità della test generation. Motivazioni: la complessità della test generation aumenta esponenzialmente con le dimensioni del circuito. Esempio: L hardware di collaudo applica i tests ai blocchi A e B e al bus interno; evita la generazione di test per il blocco formato da A e B in cascata. PI Logic block A Int. bus Logic block B PO Test input Test output 10 5

6 Presente e Futuro* Feature size (micron) Transistors/sq. cm 4-10M 18-39M Pin count Clock rate (MHz) Power (Watts) * SIA Roadmap, IEEE Spectrum, July Costi del Collaudo ATE: GHz, strumenti analogici,1,024 pin digitali = $1.2M + 1,024 x $3,000 = $4.272M Costi operativi = Deprezzamento + Mantenimento + Operazioni = $0.854M + $0.085M + $0.5M = $1.439M/year Costo del collaudo (24 ore di operazioni di un ATE) = $1.439M/(365 x 24 x 3,600) = 4.5 cents/second 12 6

7 Ruoli del Collaudo Rivelazione - Detection: Determina se il device under test (DUT) ha qualche guasto. Diagnosi: Identificazione di un gausto specifico presente nel DUT. Caratterizzazione dei dispositivi: determina e corregge errori nel progetto e/o nella procedura di test. Failure mode analysis (FMA): Determina gli errori nei processi di produzione che possono avere dato luogo a difetti nel DUT. 13 Dispositivo VLSI System-on-a-chip (SOC) Data terminal DSP core Inter- face logic RAM ROM Mixed- signal Codec Transmission medium 14 7

8 Sommario Parte I: Introduzione Concetti fondamentali e definizioni Processo e macchine di collaudo (ATE) Aspetti economici e di qualità Modelli di guasto 15 Sommario (Cont.) Part II: Metodi di Test Simulazione di guasto Misure di testability ATPG per circuiti combinatori ATPG per circuiti sequenziali Delay test e IDDQ test 16 8

9 Sommario (Cont.) Parte III: DFT Scan design BIST Boundary scan Collaudo di sistema 17 9

IC Test & Design for Testability

IC Test & Design for Testability IC Test & Design for Testability Collaudo (testing) dei sistemi digitali Realizzazione di sistemi digitali (VLSI) Collaudo e verifica Collaudo ideale e collaudo reale Costo del collaudo Ruolo del collaudo

Dettagli

Introduzione al collaudo dei sistemi digitali. Introduzione. Collaudo (testing) dei sistemi digitali. Processo di realizzazione di sistemi VLSI

Introduzione al collaudo dei sistemi digitali. Introduzione. Collaudo (testing) dei sistemi digitali. Processo di realizzazione di sistemi VLSI Introduzione al collaudo dei sistemi digitali Introduzione M. Favalli Engineering Department in Ferrara Introduzione VLSI testing 1 Collaudo (testing) dei sistemi digitali Processo di realizzazione di

Dettagli

VLSI Testing. Motivazioni

VLSI Testing. Motivazioni VLSI Testing Motivazioni Tipi di collaudo Specifiche e pianificazione Programmazione Analisi dei dati di collaudo Automatic Test Equipment Collaudo parametrico Sommario 1 Motivazioni Automatic Test Equipment

Dettagli

L applicazione dei vettori di collaudo

L applicazione dei vettori di collaudo L applicazione dei vettori di collaudo Fulvio Corno Maurizio Rebaudengo Matteo Sonza Reorda Politecnico di Torino Dipartimento di Automatica e Informatica Sommario Introduzione Gli ATE I programmi di collaudo.

Dettagli

Design For Testability (DFT) Alberto Scandurra

Design For Testability (DFT) Alberto Scandurra Design For Testability (DFT) Alberto Scandurra Physical Layer & Back-End group, On Chip Communication Systems STMicroelectronics Catania, Italy Agenda Testabilità dei sistemi VLSI Fault models Multiplexed

Dettagli

Resa e qualità. Resa dei sistemi digitali

Resa e qualità. Resa dei sistemi digitali Resa e qualità Resa e costi di produzione Resa in presenza di difetti clustered Miglioramenti della resa Defect level Analisi dei dati di test Esempio: il chip SEMATECH Sommario Resa dei sistemi digitali

Dettagli

Simulazione di guasto

Simulazione di guasto Simulazione di guasto Problemi e applicazioni Algoritmi Seriale Parallelo Deduttivo Concorrente Random Fault Sampling Sommario Problemi e Applicazioni Problema, dati: Un circuito Una sequenza di vettori

Dettagli

Elettronica dei Sistemi Digitali Il test nei sistemi elettronici: guasti catastrofici e modelli di guasto (parte II)

Elettronica dei Sistemi Digitali Il test nei sistemi elettronici: guasti catastrofici e modelli di guasto (parte II) Elettronica dei Sistemi Digitali Il test nei sistemi elettronici: guasti catastrofici e modelli di guasto (parte II) Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano,

Dettagli

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware Architetture BIST Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan Circular self-test path (CSTP) BIST Inizializzazione del circuito Loop-back hardware Inserimento di punti

Dettagli

INTRODUZIONE AI SISTEMI EMBEDDED

INTRODUZIONE AI SISTEMI EMBEDDED 1 INTRODUZIONE AI SISTEMI EMBEDDED DEFINIZIONE DI SISTEMA EMBEDDED SVILUPPO HW/SW DI SISTEMI EMBEDDED \ DEFINIZIONE DI SISTEMA EMBEDDED UN SISTEMA EMBEDDED È UN SISTEMA DI ELABORAZIONE (COMPUTER) SPECIALIZZATO

Dettagli

Input/Output. Moduli di Input/ Output. gestiscono quantità di dati differenti a velocità diverse in formati diversi. n Grande varietà di periferiche

Input/Output. Moduli di Input/ Output. gestiscono quantità di dati differenti a velocità diverse in formati diversi. n Grande varietà di periferiche Input/Output n Grande varietà di periferiche gestiscono quantità di dati differenti a velocità diverse in formati diversi n Tutti più lenti della CPU e della RAM n Necessità di avere moduli di I/O Moduli

Dettagli

Cod. DQHSF00001.0IT Rev. 1.0 11-09-2014

Cod. DQHSF00001.0IT Rev. 1.0 11-09-2014 Flow Hopper GUIDA ALLA CORRETTA ALIMENTAZIONE E CONNESSIONE DELL EROGATORE DI MONETE FLOW HOPPER Cod. DQHSF00001.0 Rev. 1.0 11-09-2014 INFORMAZIONI DI SICUREZZA Nel caso in cui sia assolutamente necessario

Dettagli

Elettronica dei Sistemi Programmabili

Elettronica dei Sistemi Programmabili Elettronica dei Sistemi Programmabili Introduzione Stefano Salvatori Università degli Studi Roma Tre (stefano.salvatori@uniroma3.it) Università degli Studi Roma Tre Elettronica dei Sistemi Programmabili

Dettagli

Database. Si ringrazia Marco Bertini per le slides

Database. Si ringrazia Marco Bertini per le slides Database Si ringrazia Marco Bertini per le slides Obiettivo Concetti base dati e informazioni cos è un database terminologia Modelli organizzativi flat file database relazionali Principi e linee guida

Dettagli

Sistemi elettronici per la sicurezza dei veicoli: presente e futuro. Il ruolo della norma ISO 26262 per la Sicurezza Funzionale

Sistemi elettronici per la sicurezza dei veicoli: presente e futuro. Il ruolo della norma ISO 26262 per la Sicurezza Funzionale La Sicurezza Funzionale del Software Prof. Riccardo Sisto Ordinario di Sistemi di Elaborazione delle Informazioni Dipartimento di Automatica e Informatica Sicurezza Funzionale del Vari Aspetti Sicurezza

Dettagli

PROGRAMMAZIONE DI T.T.I.M. CLASSE V. Opzione Manutentore Impianti Elettrici e Automazione

PROGRAMMAZIONE DI T.T.I.M. CLASSE V. Opzione Manutentore Impianti Elettrici e Automazione PROGRAMMAZIONE DI T.T.I.M. (TECNOLOGIE E TECNICHE DELL'INSTALLAZIONE E DELLA MANUTENZIONE ) CLASSE V Opzione Manutentore Impianti Elettrici e Automazione (8 ore/settimana programmate su 30 settimane/anno,

Dettagli

Dispensa di Informatica I.1

Dispensa di Informatica I.1 IL COMPUTER: CONCETTI GENERALI Il Computer (o elaboratore) è un insieme di dispositivi di diversa natura in grado di acquisire dall'esterno dati e algoritmi e produrre in uscita i risultati dell'elaborazione.

Dettagli

Le Aziende del Distretto Green & High Tech presentano i loro progetti. Valorizzare le sinergie della rete per creare valore aggiunto

Le Aziende del Distretto Green & High Tech presentano i loro progetti. Valorizzare le sinergie della rete per creare valore aggiunto Le Aziende del Distretto Green & High Tech presentano i loro progetti Valorizzare le sinergie della rete per creare valore aggiunto SEM Communication Maurizio Colombo Presidente CdA 10-15 Aprile 2014 APA

Dettagli

LABORATORIO DI SISTEMI

LABORATORIO DI SISTEMI ALUNNO: Fratto Claudio CLASSE: IV B Informatico ESERCITAZIONE N : 1 LABORATORIO DI SISTEMI OGGETTO: Progettare e collaudare un circuito digitale capace di copiare le informazioni di una memoria PROM in

Dettagli

Specifiche dello sviluppo di un progetto software e indicazioni sulla documentazione e sulle modalità di esercizio delle prestazioni

Specifiche dello sviluppo di un progetto software e indicazioni sulla documentazione e sulle modalità di esercizio delle prestazioni Specifiche dello sviluppo di un progetto software e indicazioni sulla documentazione e sulle modalità di esercizio delle prestazioni Redatto dalla Commissione per l elettronica, l informatica e la telematica

Dettagli

Defect and Fault Tolerance in VLSI. Dr. Marco Ottavi

Defect and Fault Tolerance in VLSI. Dr. Marco Ottavi Defect and Fault Tolerance in VLSI Dr. Marco Ottavi Finalità Introduzione e descrizione delle problematiche relative alla resa di produzione, collaudo e affidabilità dei componenti e circuiti elettronici.

Dettagli

La BDCR Assilea per la valutazione del profilo di rischio delle imprese

La BDCR Assilea per la valutazione del profilo di rischio delle imprese La BDCR Assilea per la valutazione del profilo di rischio delle imprese Luciano Bruccola, Assilea / Conectens RES, ConfiRes 2015 Firenze, 25 febbraio 2015 La Banca Dati Centrale Rischi Assilea BDCR Elementi

Dettagli

Test e design for testability

Test e design for testability Test e design for testability Tipi di Collaudo Esistono vari tipi di collaudo: 1.Verification test, characterization test Verifica la correttezza del progetto e delle procedure di collaudo di solito richiede

Dettagli

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI CORSO DI ELETTRONICA DEI SISTEMI DIGITALI Capitolo 1 Porte logiche in tecnologia CMOS 1.0 Introduzione 1 1.1 Caratteristiche elettriche statiche di un transistore MOS 2 1.1.1 Simboli circuitali per un

Dettagli

C. P. U. MEMORIA CENTRALE

C. P. U. MEMORIA CENTRALE C. P. U. INGRESSO MEMORIA CENTRALE USCITA UNITA DI MEMORIA DI MASSA La macchina di Von Neumann Negli anni 40 lo scienziato ungherese Von Neumann realizzò il primo calcolatore digitale con programma memorizzato

Dettagli

Livello logico digitale. bus e memorie

Livello logico digitale. bus e memorie Livello logico digitale bus e memorie Principali tipi di memoria Memoria RAM Memorie ROM RAM (Random Access Memory) SRAM (Static RAM) Basata su FF (4 o 6 transistor MOS) Veloce, costosa, bassa densità

Dettagli

Configuration Management

Configuration Management Configuration Management Obiettivi Obiettivo del Configuration Management è di fornire un modello logico dell infrastruttura informatica identificando, controllando, mantenendo e verificando le versioni

Dettagli

Design for Testability (DFT): Scan

Design for Testability (DFT): Scan Design for Testability (DFT): Full-Scan Definizioni Metodi ad-hoc Scan design Regole di progetto Registri Flip-flops Scan test sequences Overhead Sistemi di progetto basati sulla scansione Sommario 1 Definizioni

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Ottobre 2010. PC 3000 UDMA Technical Analysis

Ottobre 2010. PC 3000 UDMA Technical Analysis Ottobre 2010 PC 3000 UDMA Technical Analysis PC3000 per Windows UDMA è un prodotto della ACE Laboratory, frutto di circa 20 anni di esperienza acquisite nell ambito del Recupero Dati e nella riparazione

Dettagli

Release Management. Obiettivi. Definizioni. Responsabilità. Attività. Input

Release Management. Obiettivi. Definizioni. Responsabilità. Attività. Input Release Management Obiettivi Obiettivo del Release Management è di raggiungere una visione d insieme del cambiamento nei servizi IT e accertarsi che tutti gli aspetti di una release (tecnici e non) siano

Dettagli

La gestione di un calcolatore. Sistemi Operativi primo modulo Introduzione. Sistema operativo (2) Sistema operativo (1)

La gestione di un calcolatore. Sistemi Operativi primo modulo Introduzione. Sistema operativo (2) Sistema operativo (1) La gestione di un calcolatore Sistemi Operativi primo modulo Introduzione Augusto Celentano Università Ca Foscari Venezia Corso di Laurea in Informatica Un calcolatore (sistema di elaborazione) è un sistema

Dettagli

Architettura del computer (C.Busso)

Architettura del computer (C.Busso) Architettura del computer (C.Busso) Il computer nacque quando fu possibile costruire circuiti abbastanza complessi in logica programmata da una parte e, dall altra, pensare, ( questo è dovuto a Von Neumann)

Dettagli

NOTE PER L INSTALLAZIONE DI DISPOSITIVI APICE

NOTE PER L INSTALLAZIONE DI DISPOSITIVI APICE NOTE PER L INSTALLAZIONE DI DISPOSITIVI APICE Le informazioni incluse in questo manuale sono di proprietà APICE s.r.l. e possono essere cambiate senza preavviso. APICE s.r.l. non sarà responsabile per

Dettagli

Aris TimeSheet. che guardano oltre. enti e aziende. Soluzioni per

Aris TimeSheet. che guardano oltre. enti e aziende. Soluzioni per Aris TimeSheet Soluzioni per enti e aziende che guardano oltre L applicativo ARIS TIMESHEET è stato progettato e sviluppato per supportare i project manager nel monitoraggio dello stato di avanzamento

Dettagli

Progettazione di Basi di Dati

Progettazione di Basi di Dati Progettazione di Basi di Dati Prof. Nicoletta D Alpaos & Prof. Andrea Borghesan Entità-Relazione Progettazione Logica 2 E il modo attraverso il quale i dati sono rappresentati : fa riferimento al modello

Dettagli

CONTROLLO NUMERICO Z32

CONTROLLO NUMERICO Z32 CONTROLLO NUMERICO Z32 Meccaniche Arrigo Pecchioli Via di Scandicci 221-50143 Firenze (Italy) - Tel. (+39) 055 70 07 1 - Fax (+39) 055 700 623 e-mail: pear@pear.it - www.pear.it Hardware Cn Costruito su

Dettagli

Manutenzione e manutenzione preventiva: un investimento fondamentale per abbattere i costi e migliorare l efficienza produttiva di macchine e impianti

Manutenzione e manutenzione preventiva: un investimento fondamentale per abbattere i costi e migliorare l efficienza produttiva di macchine e impianti Manutenzione e manutenzione preventiva: un investimento fondamentale per abbattere i costi e migliorare l efficienza produttiva di macchine e impianti Marco Viganò Omron Electronics Gruppo HMI e Software

Dettagli

introduzione I MICROCONTROLLORI

introduzione I MICROCONTROLLORI introduzione I MICROCONTROLLORI Definizione Un microcontrollore è un dispositivo elettronico programmabile Può svolgere autonomamente diverse funzioni in base al programma in esso implementato Non è la

Dettagli

IL SOFTWARE SECONDO LA NORMA UNI EN ISO 13849-1:2008 (IIA PARTE) 1

IL SOFTWARE SECONDO LA NORMA UNI EN ISO 13849-1:2008 (IIA PARTE) 1 Ernesto Cappelletti (ErnestoCappelletti) IL SOFTWARE SECONDO LA NORMA UNI EN ISO 13849-1:2008 (IIA PARTE) 6 April 2012 1. Requisiti per la scrittura del software secondo la norma UNI EN ISO 13849-1:2008

Dettagli

Incident Management. Obiettivi. Definizioni. Responsabilità. Attività. Input

Incident Management. Obiettivi. Definizioni. Responsabilità. Attività. Input Incident Management Obiettivi Obiettivo dell Incident Management e di ripristinare le normali operazioni di servizio nel piu breve tempo possibbile e con il minimo impatto sul business, garantendo il mantenimento

Dettagli

PROGRAMMAZIONE DIDATTICA ANNUALE. SETTORE TECNOLOGICO Indirizzo: Elettrotecnica ed Elettronica

PROGRAMMAZIONE DIDATTICA ANNUALE. SETTORE TECNOLOGICO Indirizzo: Elettrotecnica ed Elettronica ISTITUTO TECNICO INDUSTRIALE STATALE Basilio Focaccia via Monticelli (loc. Fuorni) - Salerno PROGRAMMAZIONE DIDATTICA ANNUALE SETTORE TECNOLOGICO Indirizzo: Elettrotecnica ed Elettronica Anno scolastico:

Dettagli

STRUTTURE DEI SISTEMI DI CALCOLO

STRUTTURE DEI SISTEMI DI CALCOLO STRUTTURE DEI SISTEMI DI CALCOLO 2.1 Strutture dei sistemi di calcolo Funzionamento Struttura dell I/O Struttura della memoria Gerarchia delle memorie Protezione Hardware Architettura di un generico sistema

Dettagli

Piano di gestione della qualità

Piano di gestione della qualità Piano di gestione della qualità Pianificazione della qualità Politica ed obiettivi della qualità Riferimento ad un eventuale modello di qualità adottato Controllo della qualità Procedure di controllo.

Dettagli

COORDINAMENTO PER MATERIE SETTEMBRE 2013 MATERIA DI NUOVA INTRODUZIONE PER EFFETTO DELLA RIFORMA

COORDINAMENTO PER MATERIE SETTEMBRE 2013 MATERIA DI NUOVA INTRODUZIONE PER EFFETTO DELLA RIFORMA Pagina 1 di 5 COORDINAMENTO PER MATERIE SETTEMBRE 2013 MATERIA DI NUOVA INTRODUZIONE PER EFFETTO DELLA RIFORMA AREA DISCIPLINARE : Indirizzo Informatica e Telecomunicazioni, articolazione Informatica.

Dettagli

Corso semestrale di Analisi e Contabilità dei Costi

Corso semestrale di Analisi e Contabilità dei Costi Corso semestrale di Analisi e Contabilità dei Costi Aureli Selena 1_Sistema di controllo e contabilità analitica Perché analizzare i costi aziendali? La CONOSCENZA DEI COSTI (formazione, composizione,

Dettagli

DISCIPLINA TECNOLOGIE E PROGETTAZIONE DI SISTEMI INFORMATICI E DI TELECOMUNICAZIONI

DISCIPLINA TECNOLOGIE E PROGETTAZIONE DI SISTEMI INFORMATICI E DI TELECOMUNICAZIONI DISCIPLINA TECNOLOGIE E PROGETTAZIONE DI SISTEMI INFORMATICI E DI TELECOMUNICAZIONI INDIRIZZO DI INFORMATICA E TELECOMUNICAZIONI ARTICOLAZIONE TELECOMUNICAZIONI Utilizzare consapevolmente gli strumenti

Dettagli

Laboratorio di Architettura degli Elaboratori - A.A. 2012/13

Laboratorio di Architettura degli Elaboratori - A.A. 2012/13 Università di Udine - Facoltà di Scienze Matematiche, Fisiche e Naturali Corso di Laurea in Informatica Laboratorio di Architettura degli Elaboratori - A.A. 2012/13 Circuiti logici, lezione 1 Sintetizzare

Dettagli

SALUTE YOU. L ASSICURAZIONE CHE FAI TU. INVALIDITÀ Scegli la serenità economica anche in caso di invalidità permanente.

SALUTE YOU. L ASSICURAZIONE CHE FAI TU. INVALIDITÀ Scegli la serenità economica anche in caso di invalidità permanente. INVALIDITÀ Scegli la serenità economica anche in caso di invalidità permanente. ASSAPORA OGNI GIORNO IL PIACERE DELLA SERENITÀ! YOU SALUTE INVALIDITÀ È la polizza con cui puoi avere un capitale nel caso

Dettagli

Corso di. Analisi e contabilità dei costi

Corso di. Analisi e contabilità dei costi Corso di Analisi e Contabilità dei Costi Prof. 1_I costi e il sistema di controllo Perché analizzare i costi aziendali? La CONOSCENZA DEI COSTI (formazione, composizione, comportamento) utile EFFETTUARE

Dettagli

Analista tempi e metodi

Analista tempi e metodi Analista tempi e metodi Descrizione del profilo professionale: Il profilo professionale individuato deve assicurare lo studio, l elaborazione ed il rilascio dei cicli di lavorazione delle attività produttive

Dettagli

Elementi di Telelocalizzazione

Elementi di Telelocalizzazione Elementi di Telelocalizzazione Ing. Francesco Benedetto - Prof. Gaetano Giunta Laboratorio di Telecomunicazioni (COMLAB) Università degli Studi Roma Tre 1 Introduzione Proprietà della sequenza di spreading:

Dettagli

Prospetto delle materie e del quadro orario settimanale: ISTITUTO TECNICO

Prospetto delle materie e del quadro orario settimanale: ISTITUTO TECNICO Prospetto delle materie e del quadro orario settimanale: ISTITUTO TECNICO Settore TECNOLOGICO A) MECCANICA, MECCATRONICA ED ENERGIA Titolo rilasciato: Perito in Meccanica, meccatronica ed energia MATERIE

Dettagli

Corso di Informatica

Corso di Informatica Corso di Informatica Modulo T2 3-Compilatori e interpreti 1 Prerequisiti Principi di programmazione Utilizzo di un compilatore 2 1 Introduzione Una volta progettato un algoritmo codificato in un linguaggio

Dettagli

Gestione delle informazioni necessarie all attività di validazione degli studi di settore. Trasmissione degli esempi da valutare.

Gestione delle informazioni necessarie all attività di validazione degli studi di settore. Trasmissione degli esempi da valutare. Gestione delle informazioni necessarie all attività di validazione degli studi di settore. Trasmissione degli esempi da valutare. E stato previsto l utilizzo di uno specifico prodotto informatico (denominato

Dettagli

Architettura di un calcolatore

Architettura di un calcolatore 2009-2010 Ingegneria Aerospaziale Prof. A. Palomba - Elementi di Informatica (E-Z) 7 Architettura di un calcolatore Lez. 7 1 Modello di Von Neumann Il termine modello di Von Neumann (o macchina di Von

Dettagli

Concetti fondamentali della Tecnologia Dell informazione Parte prima

Concetti fondamentali della Tecnologia Dell informazione Parte prima Concetti fondamentali della Tecnologia Dell informazione Parte prima 1 Concetti di base della tecnologia dell Informazione Nel corso degli ultimi anni la diffusione dell Information and Communication Technology

Dettagli

PATTO DI STABILITA INTERNO TEST DELLA FIRMA DIGITALE

PATTO DI STABILITA INTERNO TEST DELLA FIRMA DIGITALE Applicazione: PATTO DI STABILITA INTERNO Documento Manuale d uso della funzione: TEST DELLA FIRMA DIGITALE Indice 1 INTRODUZIONE... 3 2 OPERAZIONI PRELIMINARI REQUISITI HARDWARE... 4 3 ACCESSO ALLA FUNZIONALITÀ...

Dettagli

ATEX ed Ambienti Confinanti DCS Safety System Sistemi di Sicurezza e Controllo in ambienti a rischio esplosione

ATEX ed Ambienti Confinanti DCS Safety System Sistemi di Sicurezza e Controllo in ambienti a rischio esplosione TUSL - TESTO UNICO IN MATERIA DI SALUTE E SICUREZZA NEGLI AMBIENTI DI LAVORO In ambito lavorativo, il Dlgs. 81/2008 propone un sistema di gestione della sicurezza e della salute preventivo e permanente,

Dettagli

Procedura Gestione Guasti TOP

Procedura Gestione Guasti TOP V FIS 5.2 Business Unit Executive Strettamente confidenziale 1 SUPPORTO TECNICO 1.1 Contatto per fault reporting fornirà al un singolo punto di contatto, per la segnalazione delle anomalie e di altri problemi

Dettagli

La tecnica proporzionale

La tecnica proporzionale La tecnica proporzionale Regolatori di pressione La tecnica proporzionale Regolatori di pressione La tecnica proporzionale La tecnica proporzionale Controllo direzione e flusso La tecnica proporzionale

Dettagli

Approccio alla nuova normativa macchine con Easy Safe Calculator

Approccio alla nuova normativa macchine con Easy Safe Calculator Approccio alla nuova normativa macchine con Easy Safe Calculator SAVE, Verona 21 ottobre 2009 Pierluca Bruna Product Manager Safety & Atex CEI CT 44 Schneider Electric La Direttiva Macchine Il riferimento

Dettagli

PROGRAMMAZIONE DI T.T.I.M. CLASSE V. Opzione Manutentore Impianti Elettrici e Domotici

PROGRAMMAZIONE DI T.T.I.M. CLASSE V. Opzione Manutentore Impianti Elettrici e Domotici I.S.I.S. "LINO ZANUSSI" PN Classe: V A - MANUTENZIONE ED ASSISTENZA TECNICA (SETTORE INDUSTRIA ED ARTIGIANATO Materia: TECNOLOGIA ELETTRICO ELETTRONICA E APPLICAZIONI - 2014/15 Opzione Manutentore impianti

Dettagli

CONDIZIONI DI VENDITA CONDIZIONI GENERALI DI VENDITA Ordini: Gli ordini si intenderanno accettati solo dopo conferma, verbale o scritta, da parte di Magic Marlin. Prezzi: I prezzi applicati, salvo diverso

Dettagli

Tecniche di Progettazione Digitale Il test dei circuiti integrati digitali p. 2

Tecniche di Progettazione Digitale Il test dei circuiti integrati digitali p. 2 Tecniche di Progettazione Digitale Il test dei circuiti integrati digitali Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Base di dati e sistemi informativi

Base di dati e sistemi informativi Base di dati e sistemi informativi Una base di dati è un insieme organizzato di dati opportunamente strutturato per lo svolgimento di determinate attività La base di dati è un elemento fondamentale per

Dettagli

CONTROLLO NUMERICO Z32

CONTROLLO NUMERICO Z32 CONTROLLO NUMERICO Z32 Meccaniche Arrigo Pecchioli Via di Scandicci 221-50143 Firenze (Italy) - Tel. (+39) 055 70 07 1 - Fax (+39) 055 700 623 e-mail: pear@pear.it - www.pear.it Hardware Cn Costruito su

Dettagli

Partecipare è facile, basta scegliere tra le date disponibili ed acquistare il corso desiderato direttamente sul nostro shop online

Partecipare è facile, basta scegliere tra le date disponibili ed acquistare il corso desiderato direttamente sul nostro shop online Ogni modulo è relativo ad una specifica fase del processo di recupero dati, il cliente può scegliere di specializzarsi in uno o più livelli di intervento acquistando solo i moduli che più si adattando

Dettagli

Collaudo e qualità del software Quali test eseguire

Collaudo e qualità del software Quali test eseguire Collaudo e qualità del software Relatore Ercole Colonese Roma, Tipologie di test Temi trattati nel libro Modello a V Livelli di testing Tipi di test Test funzionali Test delle funzionalità Test di gestione

Dettagli

AGRISIAN. Portale CNS - Guida all accesso per gli Utenti Qualificati

AGRISIAN. Portale CNS - Guida all accesso per gli Utenti Qualificati AGRISIAN Portale CNS - Guida all accesso per gli Utenti Novembre 2007 Pagina 2 di 15 INDICE 1 PREMESSA...3 2 COME SI ACCEDE AL PORTALE DEGLI UTENTI QUALIFICATI?...4 3 REGISTRAZIONE CNS....5 4 ACCESSO ALL

Dettagli

Andrea Cacace, ABB Process Automation, 23 Aprile 2015 Ottimizzazione ed efficienza energetica nei processi: il ruolo della diagnosi energetica

Andrea Cacace, ABB Process Automation, 23 Aprile 2015 Ottimizzazione ed efficienza energetica nei processi: il ruolo della diagnosi energetica Andrea Cacace, ABB Process Automation, 23 Aprile 2015 Ottimizzazione ed efficienza energetica nei processi: il ruolo della diagnosi energetica Ottimizzazione ed efficienza energetica nei processi Sommario

Dettagli

MODELLO PER LA RAPPRESENTAZIONE DI SISTEMI DI PRODUZIONE A FLUSSO IN FUNZIONE DELLA QUALITÀ

MODELLO PER LA RAPPRESENTAZIONE DI SISTEMI DI PRODUZIONE A FLUSSO IN FUNZIONE DELLA QUALITÀ CICLO DI LEZIONI per Progetto e Gestione della Qualità Facoltà di Ingegneria MODELLO PER LA RAPPRESENTAZIONE DI SISTEMI DI PRODUZIONE A FLUSSO IN FUNZIONE DELLA QUALITÀ Carlo Noè Università Carlo Cattaneo

Dettagli

Macchine a stati finiti G. MARSELLA UNIVERSITÀ DEL SALENTO

Macchine a stati finiti G. MARSELLA UNIVERSITÀ DEL SALENTO Macchine a stati finiti 1 G. MARSELLA UNIVERSITÀ DEL SALENTO Introduzione Al più alto livello di astrazione il progetto logico impiega un modello, la cosiddetta macchina a stati finiti, per descrivere

Dettagli

Comparazione fra Analizzatori Di Reti RF: differenze ed incertezze

Comparazione fra Analizzatori Di Reti RF: differenze ed incertezze Comparazione fra Analizzatori Di Reti RF: differenze ed incertezze Sergio Mariotti Rapporto Interno IRA 360 / 2004 Revisori: Federico Perini File: MS Word 2000 comparazione fra VNA2.doc Ver. aggiornata

Dettagli

Architettura hardware

Architettura hardware Architettura dell elaboratore Architettura hardware la parte che si può prendere a calci Sistema composto da un numero elevato di componenti, in cui ogni componente svolge una sua funzione elaborazione

Dettagli

MODULO PER LA GESTIONE DEI RESI

MODULO PER LA GESTIONE DEI RESI MODULO PER LA GESTIONE DEI RESI Clienti, prodotti, categorie merceologiche e stabilimenti di produzione. Difetti, tipologia difetti, test ed esiti finali di verifica. Raggruppamento dei test loro in schede

Dettagli

Guida per l aggiornamento del software del Browser SISTRI

Guida per l aggiornamento del software del Browser SISTRI Guida per l aggiornamento del software del Browser SISTRI 30/04/2013 Guida per l aggiornamento del software del dispositivo USB Pagina 1 Sommario - Limitazioni di responsabilità e uso del manuale... 3

Dettagli

SISTEMI di PERSONAL COMPUTER

SISTEMI di PERSONAL COMPUTER CORSO AutoCAD SCHEDA N 2 SISTEMI di PERSONAL COMPUTER schematizzazione di un processo di elaborazione... INPUT (Ingresso) ELABORAZIONE OUTPUT (Uscita) ROL.98 Un personal computer è costituito da un insieme

Dettagli

Realizzazione di Politiche di Gestione delle Risorse: i Semafori Privati

Realizzazione di Politiche di Gestione delle Risorse: i Semafori Privati Realizzazione di Politiche di Gestione delle Risorse: i Semafori Privati Condizione di sincronizzazione Qualora si voglia realizzare una determinata politica di gestione delle risorse,la decisione se ad

Dettagli

Generazione Automatica di Asserzioni da Modelli di Specifica

Generazione Automatica di Asserzioni da Modelli di Specifica UNIVERSITÀ DEGLI STUDI DI MILANO BICOCCA FACOLTÀ DI SCIENZE MATEMATICHE FISICHE E NATURALI Corso di Laurea Magistrale in Informatica Generazione Automatica di Asserzioni da Modelli di Specifica Relatore:

Dettagli

I sistemi di controllo di gestione. Nicola Castellano

I sistemi di controllo di gestione. Nicola Castellano I sistemi di controllo di gestione Nicola Castellano 1 Controllo di gestione e contabilità analitica Sommario: Controllo di gestione Definizione Il sistema di controllo Struttura organizzativa Processo

Dettagli

Automazione Industriale 4- Ingegneria del Software

Automazione Industriale 4- Ingegneria del Software Automation Robotics and System CONTROL Università degli Studi di Modena e Reggio Emilia Automazione Industriale 4- Ingegneria del Software Cesare Fantuzzi (cesare.fantuzzi@unimore.it) Ingegneria Meccatronica

Dettagli

Il protocollo JTAG IEEE 1149.1-1990. Lo standard industriale per il test di schede e circuiti integrati

Il protocollo JTAG IEEE 1149.1-1990. Lo standard industriale per il test di schede e circuiti integrati Il protocollo JTAG IEEE 1149.1-1990 Lo standard industriale per il test di schede e circuiti integrati Un breve glossario JTAG: Joint Test Action Group 200 fra le più importanti compagnie elettroniche

Dettagli

THEME Matrice di Competenza - Meccatronica

THEME Matrice di Competenza - Meccatronica AREE DI COMPETENZA FASI DELLO SVILUPPO DELLE COMPETENZE 1. Effettuare la manutenzione e garantire l'affidabilità dei sistemi. svolgere le operazioni di manutenzione programmata di base su macchine e sistemi

Dettagli

MOBS Flussi informativi sanitari regionali

MOBS Flussi informativi sanitari regionali Pag. 1 di 11 MOBS Flussi informativi sanitari regionali Restituzione in formato CSV per i flussi SDO e C Versione 01 Torino, Novembre 2014 Pag. 2 di 11 INDICE 1 INTRODUZIONE... 3 1.1 NOMENCLATURA DEI FILES

Dettagli

manifatturiera e per i servizi

manifatturiera e per i servizi CAPITOLO 7 Tecnologie per la produzione manifatturiera e per i servizi Agenda Tecnologia e core technology Processi core ed ausiliari Tecnologia e struttura organizzativa Tecnologia core manifatturiera

Dettagli

Risolvere un problema significa individuare un procedimento che permetta di arrivare al risultato partendo dai dati

Risolvere un problema significa individuare un procedimento che permetta di arrivare al risultato partendo dai dati Algoritmi Algoritmi Risolvere un problema significa individuare un procedimento che permetta di arrivare al risultato partendo dai dati Il procedimento (chiamato algoritmo) è composto da passi elementari

Dettagli

MService La soluzione per ottimizzare le prestazioni dell impianto

MService La soluzione per ottimizzare le prestazioni dell impianto MService La soluzione per ottimizzare le prestazioni dell impianto Il segreto del successo di un azienda sta nel tenere sotto controllo lo stato di salute delle apparecchiature degli impianti. Dati industriali

Dettagli

Introduzione alla Programmazione

Introduzione alla Programmazione Introduzione alla Programmazione Lorenzo Bettini Corso di Laurea in Scienze dell'educazione 2014/2015 Cosa è un programma Costituito da tante istruzioni Una lista di cose da fare Che il processore esegue

Dettagli

What is LabVIEW???? Pietro Giannone. Dipartimento di Ingegneria Elettrica Elettronica e dei Sistemi. Università degli Studi di Catania

What is LabVIEW???? Pietro Giannone. Dipartimento di Ingegneria Elettrica Elettronica e dei Sistemi. Università degli Studi di Catania Università degli Studi di Catania Dipartimento di Ingegneria Elettrica Elettronica e dei Sistemi What is LabVIEW???? Pietro Giannone University of Catania Dipartimento di Ingegneria Elettrica Elettronica

Dettagli

FOUNDATION TM Fieldbus technology

FOUNDATION TM Fieldbus technology Fieldbus Foundation Freedom to choose. Power to integrate Italia FOUNDATION TM Fieldbus technology Relatore : Design Guidelines Due possibili approcci: Teoria: Calcolo e verifica di ogni segmento Pratica:

Dettagli

ARCHITETTURE DI SISTEMI INTEGRATI PER APPLICAZIONI SPECIFICHE. Design Flow

ARCHITETTURE DI SISTEMI INTEGRATI PER APPLICAZIONI SPECIFICHE. Design Flow ARCHITETTURE DI SISTEMI INTEGRATI PER APPLICAZIONI SPECIFICHE Design Flow Prof. Luigi Raffo Dipartimento di ingegneria elettrica ed elettronica Università di Cagliari Flusso di progetto classico su silicio

Dettagli

RoboTESTER Wall-E, ATE a letto d aghi per Test In-Circuit e Funzionale. 1/6 www.ni.com

RoboTESTER Wall-E, ATE a letto d aghi per Test In-Circuit e Funzionale. 1/6 www.ni.com RoboTESTER Wall-E, ATE a letto d aghi per Test In-Circuit e Funzionale "L utilizzo di hardware COTS (Commercial off-the-shelf) National Instruments e di LabVIEW ha permesso al cliente finale di ottenere

Dettagli

Obiettivi del corso: Fornire le nozioni di base sull architettura dei sistemi di calcolo Fornire i primi strumenti di descrizione e di analisi dei sistemi digitali Descrivere in modo verticale il funzionamento

Dettagli

Problem Management. Obiettivi. Definizioni. Responsabilità. Attività. Input

Problem Management. Obiettivi. Definizioni. Responsabilità. Attività. Input Problem Management Obiettivi Obiettivo del Problem Management e di minimizzare l effetto negativo sull organizzazione degli Incidenti e dei Problemi causati da errori nell infrastruttura e prevenire gli

Dettagli

NOZIONI ELEMENTARI DI HARDWARE E SOFTWARE

NOZIONI ELEMENTARI DI HARDWARE E SOFTWARE CORSO INTRODUTTIVO DI INFORMATICA NOZIONI ELEMENTARI DI HARDWARE E SOFTWARE Dott. Paolo Righetto 1 CORSO INTRODUTTIVO DI INFORMATICA Percorso dell incontro: 1) Alcuni elementi della configurazione hardware

Dettagli

Software di base. Corso di Fondamenti di Informatica

Software di base. Corso di Fondamenti di Informatica Dipartimento di Informatica e Sistemistica Antonio Ruberti Sapienza Università di Roma Software di base Corso di Fondamenti di Informatica Laurea in Ingegneria Informatica (Canale di Ingegneria delle Reti

Dettagli

Il SOFTWARE DI BASE (o SOFTWARE DI SISTEMA)

Il SOFTWARE DI BASE (o SOFTWARE DI SISTEMA) Il software Software Il software Il software è la sequenza di istruzioni che permettono ai computer di svolgere i loro compiti ed è quindi necessario per il funzionamento del calcolatore. Il software può

Dettagli

mobile working application

mobile working application tracciabilità, monitoraggio, certificazione e rendicontazione delle attività di vigilanza mobile working application 1 Vigilanza WEB dispositivo mobile L OTTO GSM per la rilevazione automatica dei dati

Dettagli

Indirizzo Informatica e Telecomunicazioni, articolazione Informatica.

Indirizzo Informatica e Telecomunicazioni, articolazione Informatica. Pagina 1 di 5 COORDINAMENTO PER MATERIE MAGGIO 2014 Indirizzo Informatica e Telecomunicazioni, articolazione Informatica. AREA DISCIPLINARE - MATERIA : TELECOMUNICAZIONI PER INFORMATICA Coordinatore :

Dettagli