Capitolo 3. Modelli. 3.1 La macchina a stati finiti 3.2 La macchina combinatoria 3.3 La macchina asincrona 3.4 La macchina sincrona

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Capitolo 3. Modelli. 3.1 La macchina a stati finiti 3.2 La macchina combinatoria 3.3 La macchina asincrona 3.4 La macchina sincrona"

Transcript

1 Capitolo 3 Modelli 3.1 La macchina a stati finiti 3.2 La macchina combinatoria 3.3 La macchina asincrona 3.4 La macchina sincrona

2 Il modello del blocco o scatola nera Alfabeto d ingresso Alfabeto d uscita comportamento ingresso dei dati P uscita dei risultati pochi modelli! P relazione ingresso/uscita o di causa/effetto trasformazione temporizzazione struttura a) in serie Regole elementari di composizione b) in parallelo c) in retroazione i i i M 1 M 2 M 1 s M 2 M 1 M 2 u u 1 u 2 u u=m 2 (M 1 (i)) Deve operare prima il blocco a sinistra, poi quello a destra. u 1 =M 1 (i) { u2 =M 2 (i) I due blocchi operano contemporaneamente. u=m 1 (i, s) s=m 2 (u) u=m 1 (i, M 2 (u)) Funzione composta Sistema di funzioni Funzione ricorsiva È necessario che l anello completi un calcolo prima di avviarne uno nuovo. pochi componenti primitivi!

3 3.1 La macchina a stati finiti

4 Digitale è sinonimo di discreto

5 La discretizzazione degli stimoli e delle risposte J(t): tutta l informazione ricevuta fino a t i(t) I (alfabeto di ingresso) F u(t) = F(J(t)) u(t) U (alfabeto di uscita) Comunicazione: numero finito di segnali binari 2 n config n.u.? 5 z a 1 Insieme discreto m

6 La discretizzazione del tempo t i riconoscimento elaborazione Evento che introduce informazione modifica dell ingresso scadere di un intervallo di tempo risposta l uscita attuale può dunque dipendere: t i+1 dall ingresso contemporaneo dagli ingressi precedenti dal trascorrere del tempo t

7 Esempi dipende dal dato u = i dato 9 risultato t istruzione operando CPU risultato dipende anche dalle istruzioni e dai dati precedenti istruzione operando risultato t dipende dal tempo V G R t

8 Lo stato interno

9 Sequenze di ingressi e di uscite Indichiamo con t 0, t 1,, t n-1, t n una sequenza finita di istanti in cui si sono verificati degli eventi l uscita al generico istante t n dipende dalla sequenza di ingresso i(t 0 ) i(t 1 ) i(t n-1 ) i(t n ) u(t n ) = F( i(t 0 ), i(t 1 ),, i(t n-1 ), i(t n )) e questo come si esprime?? e dalla condizione iniziale della macchina s(t 0 ). u(t n ) = F( s(t 0 ), i(t 0 ), i(t 1 ),, i(t n-1 ), i(t n ))

10 Lo stato iniziale s(t 0 ) S Esempio : il percorso di un auto dipende non solo dai comandi via via dati con volante, freno, acceleratore, ma anche dalla benzina inizialmente nel serbatoio e dallo stato di usura delle gomme. Esempio : Non basta caricare un orologio per avere l ora esatta. L ora indicata dipende infatti non solo dal n di scatti che la molla ha dato alle lancette, ma anche dalla loro posizione iniziale.

11 Sequenze di ingressi, di uscite e di stati u(t n ) = F( s(t 0 ), i(t 0 ), i(t 1 ),, i(t n-1 ), i(t n )) s(t 0 ) S s(t 1 ) u(t n ) = F( s(t 1 ), i(t 1 ),, i(t n-1 ), i(t n )) s(t 1 ) S s(t 2 ) u(t n ) = F( s(t n ), i(t n )) s(t n+1 ) = G( s(t n ), i(t n )) s(t n ) S s(t n+1 ) S stato interno presente stato interno futuro

12 Classificazione dei comportamenti Tipo di macchina sequenziale Relazione ingresso/uscita u(t n ) = F( s(t n ), i(t n )) s(t n+1 ) = G( s(t n ), i(t n )) caso più semplice? combinatoria u(t n ) = F(i(t n )) u = F(i)

13 Esempi

14 Il campanello i: Pulsante u: Suoneria Premuto Rilasciato din nessun suono u = F(i) Macchina combinatoria i: Pulsante u: Suoneria t 0 Premuto din Rilasciato nessun suono t 1 t 2 Rilasciato don t 3 Rilasciato nessun suono u(t i ) = F(i(t i ), i(t i-1 ),..) Macchina sequenziale: a parità d ingresso risposte diverse ad istanti diversi

15 Addizione colonna per colonna: macchina sequenziale bit i-esimo di A a s bit i-esimo di A+B bit i-esimo di B b FA R i-esimo riporto (i-1)-esimo riporto r stato presente memoria stato futuro a 0 a 1 a 2 b 0 b 1 b 2 s(t 0 )=0 0 r 1 r 2 s 0 s 1 s 2 R 0 R 1 R 2 t 0 t 1 t 2 t 3

16 La memoria: macchina sequenziale i memoria u i: scrivi: u:

17 La struttura della macchina a stati finiti i(t n ) I F u(t n ) U s(t n ) S L insieme finito S contiene tutti i riassunti utili della storia passata della macchina. s(t s(t n+1 n )) m L evento aggiorna lo stato La memoria m alloggia lo stato interno presente La funzione F, tramite i(t n ) e s(t n ), calcola la risposta u(t n ) La funzione G, con gli stessi argomenti, calcola il nuovo riassunto s*(t n ) ( detto stato interno futuro) G s*(t n ) S t n+1 : evento

18 La FSM (Finite State Machine) Sistema matematico i M = {I, U, S, F, G} formato da 3 INSIEMI I: {i 1, i 2,, i n } alfabeto di ingresso U: {u 1, u 2,, u m } alfabeto di uscita S: {s 1, s 2,, s k } insieme degli stati e da 2 FUNZIONI F : S I U funzione di uscita G : S I S funzione di aggiornamento dello stato interno s F macchina combinatoria G macchina combinatoria s* u Nella realizzazione occorre una MEMORIA che mantenga il vecchio stato s fino a quando non è necessario sostituirlo con il nuovo stato s* memoria macchina sequenziale

19 Tabella di flusso e Grafo degli stati

20 Descrizione con tabella di flusso insieme degli stati stato presente s 1 s 2. s j. s k i 1 i 2. i i. i n ingresso attuale. s p,u q alfabeto d ingresso NB: mancano indicazioni sull istante di aggiornamento stato futuro, uscita attuale

21 Descrizione con grafo degli stati s 1 i 1, u m transizione s p s j stabilità i i, u q s k i n, u n s 2

22 Esempio: analisi di una stringa Stringa: x(1) x(2) x(3) x(4) x(5) con x(i) {0,1,..,9} Risposta: si se x(i) x(i+1) x(i+2) = 123, no in ogni altro caso x=1,no x 1,no x 1e 2, no x=1,no A x 1e 3, no x 1, no B x=2,no x=1,no C x=3,si x=1,no A B,no A,no A,no A,no B B,no C,no A,no A,no C B,no A,no D,si A,no D B,no A,no A,no A,no D

23 Analisi e Sintesi Descrizione a parole del comportamento CAD Grafo degli stati Tabella di flusso strumento intuitivo strumento ordinato Descrizione grafica della struttura

24 Casi particolari

25 1: Automa di Mealy e Automa di Moore i F u F u s G s* i s G s* memoria memoria Mealy F : S I U Moore F : S U

26 Automa di Mealy e automa di Moore GRAFO: simbolo d uscita all interno del nodo i n,u n s j i i,u m s p TABELLA: ulteriore colonna per specificare la F : S U s 1 s 2. s j. s k i 1 i 2. i i. i n ingresso.. attuale s p u m stato presente stato futuro uscita attuale F..

27 Esempio: il flip-flop D Macchina con ingressi C,D ed uscita U. U riproduce il valore presente su D in corrispondenza di ogni fronte di salita di C C D ff D U Il campionamento di D=1 determina U=1 Il campionamento di D=0 determina U=0 C D U C D U

28 Il flip-flop D: grafo e tabella di Moore CD 11 Ho visto un fronte di 10 salita di C con D= M U= CD M M M N N U 1 Q U=0 11 N U=1 N M P N N P P P Q Q P U=0 Ho visto un fronte di Q M P Q Q salita di C con D=0

29 2: Grafi strettamente connessi Macchine che non si fermano mai Stato iniziale qualsiasi per ogni ingresso Deve esistere almeno una sequenza d ingresso che consenta di passare da uno stato arbitrariamente scelto ad un altro stato arbitrariamente scelto

30 3: Stati indistinguibili e Automi equivalenti La descrizione con un automa di un comportamento sequenziale non è unica Stati indistinguibili: due o più stati a partire dai quali, per ogni possibile sequenza d ingresso, si ottengono sequenze d uscita identiche Automi equivalenti: automi che descrivono lo stesso comportamento con differente numero di stati interni Automa minimo: automa i cui stati interni sono tutti tra loro distinguibili

31 M1 Riconoscimento di : l automa minimo x=1,no M1 e M2 sono grafi equivalenti B x 1e x 1e 2, 2, no no x=1,no x=2,no x=1,no M2 x 1,no A x 1e 3, no x 1, no C x=3,si x=1,no x=3,si D A e D sono stati indistinguibili A B,no A,no A,no A,no B B,no C,no A,no A,no C B,no A,no D,si A,no D B,no A,no A,no A,no {A,D} A, B, C sono tra loro distinguibili: la tabella è minima A B,no A,no A,no A,no B B,no C,no A,no A,no C B,no A,no A,si A,no

32 Compito in classe: Esercitazione N. 1 i,u {A,B,..,Z} i Editor u Trova:..RETE.. testuale Sostituisci:..RETI.. *,* i {E,R,T,*} u {E,I,R,T,*} R,R E,E T,T E,I *,*

33 Soluzione domanda N.1 R, R E, E T, T R, R *, * R, R R,R E,E T,T E,I S0 S1 S2 S3 S4 T, T *, * R, R E, E *,* * *, * T, T R E T S0 S0,* S1,R S0,E S0,T S1 S0,* S1,R S2,E S0,T S2 S0,* S1,R S0,E S3,T S3 S0,* S1,R S4,I S0,T S4 S4,* S1,R S4,E S4,T *, * E, E T, T

34 Soluzione domanda N.2 (grafo) R, R E, E T, T *, * R, R R, R R,R E,E T,T S0 S1 S2 T, T *, * E, E *,* R, R *, * T, T E,I S3 S4 *, * E, E T, T E,I

35 Soluzione domanda N. 2 (tabella di flusso) {S0,S4} = S0 S0 S1 S2 S3 * R E T S0,I * R E T S0 S0,* S1,R S0,E S0,T S1 S0,* S1,R S2,E S0,T S2 S0,* S1,R S0,E S3,T S3 S0,* S1,R S4,I S0,T S4 S4,* S1,R S4,E S4,T

36 i F u Macchine combinatorie asincrone s G s* sincrone memoria

37 Classificazione delle FSM N di stati interni: 0 o 1 Macchina combinatoria 2 o più Macchina sequenziale N di simboli d uscita per simbolo d ingresso: 1 Macchina sequenziale asincrona 2 o più Macchina sequenziale sincrona Evento che può modificare l uscita modifica dell ingresso trascorrere modifica dello stato tempoad ogni T0

38 Macchine asincrone e sincrone Macchina asincrona - Lo stato e l uscita possono cambiare solo se cambia l ingresso. La durata dell ingresso non produce informazione. Ogni stato diventa stabile per l ingresso che lo ha causato se s*=g(s,i) allora anche s*=g(s*,i) Macchina sincrona - Lo stato e l uscita possono cambiare solo allo scadere di un prefissato intervallo di tempo T 0 (istanti di sincronismo t = T 0, 2T 0, 3 T 0,..). Ipotesi: durante l intervallo l ingresso è costante u n = F(s n, i n ) s n+1 = s* n = G(s n, i n ) L intervallo compreso tra due successivi istanti di sincronismo è l unità di misura del tempo.

39 Grafo di comportamenti asincroni e sincroni Macchina asincrona: ogni nuovo ingresso produce subito una stabilità e genera quindi un solo nuovo simbolo d uscita i 1, u 1 α i 2, u 2 i 2, u 2 β i 1, u 1 i 2, u 3 Macchina sincrona: un nuovo ingresso produce una sequenza, finita o periodica, di transizioni di stato e di simboli d uscita α i 1, u 1 α i 2, u 2 i 2, u 2 β β i 2, u 3 i 2, u 3 γ γ i 2, u 2

40 3.2 - La macchina combinatoria

41 Macchina combinatoria ideale : la funzione Elaborazione combinatoria: per ogni i I esiste un solo u U che gli corrisponde. NON c è MEMORIA, NON c è RETROAZIONE Il blocco i I F u =F(i) u U

42 Encoder e Decoder Full Adder x 4 x 3 x 2 x 1 x 2 x 1 x 0 ENCODER trascod. da 1 su 4 a binario DECODER trascod. da binario a 1 su 8 y 2 y 1 y 0 y 7 y 6 y 5 y 4 y 3 y 2 y 1 y 0 x 4 x 3 x 2 x x 2 x 1 x y 2 y 1 y y 7 y 6 y 5 y 4 y 3 y 2 y 1 y r i a i b i Full Adder s i r i+1 r i a i b i r i+1 s i Full Subtracter Il Multiplexer a due vie p i a i b i Full Subtracter d i p i+1 p i a i b i p i+1 d i i 0 i M U X a u a i 0 i 1 u se a=0 allora u=i 0 altrimenti u=i 1

43 Descrizione del comportamento i u = F(i) La tabella i: var. indipendente u: var. dipendente a 1 b 2 a 2 b 3 a 3 b 2 a 4 b 3 a 5 b 1 B n B m L espressione ADDER: u = i 1 + i 2 SELETTORE: u = i A Algebra binaria

44 Struttura: composizione e decomposizione La composizione in serie e/o in parallelo di macchine combinatorie è ancora una macchina combinatoria Ogni macchina combinatoria può essere decomposta fino ad individuare una disposizione in serie/parallelo di gate

45 Composizione in serie di Full Adder 0 a 0 b 0 a b r R s s 0 a 1 b 1 r 1 a b r R s s 1 r 2 a n-1 r n-1 a r b n-1 s n-1 b R s r n = s n

46 Decomposizione di un Full Adder a s b s R r r i a i b i r i+1 s i s=1 se e solo se in ingresso c è un n dispari di uni x y z a 0 b 0 01 r 01 s

47 Macchina combinatoria reale : throughput t n t n+1 ingresso i 3 i 1 i 4 uscita F(i 3 ) F(i 1 ) F(i 4 ) τ p τ p : tempo di calcolo della F τ u : tempo di acquisizione del risultato i(t) u(t) Funzione Ritardo u(t-τ p ) F τ p troughput: (τ p + τ u ) o anche 1/(τ p + τ u ) τ u τ p

48 3.2 La macchina asincrona

49 La macchina asincrona (comportamento) t-ε t t+ε ingresso i 1 i 2 G(α, i 1 ) = α F(α, i 1 ) = u 1 stato presente α α β G(α, i 2 ) = β F(α, i 2 ) = u 1 o u 2 stato futuro α β β G(β, i 2 ) = β F (β, i 2 ) = u 2 uscita u 1 u 1 /u 2 u 2 La memoria può dunque essere un piccolo ritardo

50 La macchina asincrona (struttura) i s F u Se gli M stati della macchina sono codificati con n log s* 2 M bit G occorrono n segnali binari s in retroazione i macchina combinat. reale macchina combinat. reale u ritardo τ p retroazione diretta

51 Un esempio di macchina asincrona: la lampada da tavolo rilasciato, spenta rilasciato,spenta premuto,accesa premuto, accesa spenta α β rilasciato, accesa premuto, spenta rilasciato, accesa δ premuto, accesa γ pulsante i I:{rilasciato,premuto} lampadina u U:{spenta, accesa} N.B: durata di una transizione uscita durante una transizione rilasciato premuto α α, spenta β, spenta accesa β γ, accesa β, accesa γ γ, accesa δ, accesa δ α, spenta δ, spenta

52 3.3 La macchina sincrona

53 Segnali sincroni Per ottenere un esatta misura del tempo la modifica dei segnali di ingresso/uscita/stato deve verificarsi solo in corrispondenza di istanti di sincronismo distanziati uno dall altro di una quantità prefissata T 0

54 La macchina sincrona T 0 : intervallo di tempo in cui la macchina non modifica il suo stato t n t n+1 = t n + T 0 ingresso i n-1 i n i n+1 stato presente s n-1 s n s n+1 uscita F(i n,s n ) stato futuro G(i n,s n ) ritardo τ p τ p : intervallo di tempo impiegato dal calcolo di F e di G

55 La macchina sincrona (struttura) i F u i F u s G s* s G s* ritardo Τ0 Rete sequenz. registro asincrona clock T0

56 Il registro Registro - Macchina sequenziale che memorizza e rende disponibile in uscita un dato che in precedenza le è stato fornito in ingresso. La scrittura di un nuovo dato è stabilita da un comando esterno detto clock. ingresso comando registro comando ingresso α β uscita uscita γ α

57 Il registro da un bit

58 Il flip-flop D (ritardo T 0 ) C D U ff D C (n-1)t 0 nt 0 (n+1)t 0 (n+2)t P U=0 D D n-1 D n D n+1 D n+2 Stato M N P Q M N M CD IPOTESI: M C periodico 01 con periodo U=1T D varia poco 00 dopo 11 il fronte di salita 00 di 00 C Q 11 N U=0 U= N P U U n-1 = D n-2 U n = D n-1 U n+1 = D n U n+2 = D n+1

59 Il flip-flop genera un segnale sincrono anche se le variazioni di D non sono allineate con gli istanti di sincronismo. Basta che D sia costante al momento del campionamento C D U

60 Il flip-flop come macchina sincrona elementare D=1 D=0 Q=U=0 Q=U=1 D=1 D=0 Q n D n =0 D n =1 0 0,0 1,0 1 0,1 1,1 Q n+1, U n Macchina D n di Moore a due stati: U n = Q n = D n-1 Q=0 e Q=1 N.B: tempo di percorrenza di un ramo

61 Addizione colonna per colonna: macchina sequenziale sincrona bit i-esimo di A a s bit i-esimo di A+B bit i-esimo di B b FA R i-esimo riporto (i-1)-esimo riporto r stato presente Q D mem. stato futuro a 0 a 1 a 2 b 0 b 1 b 2 s(t 0 )=0 0 s 0 R 0 r 1 s 1 R 1 r 2 s 2 R 2 Frequenza e fase uguali a quelle dei bit seriali. Vedremo più avanti: T 0 τ R + τ FA + τ SU t 0 t 1 t 2 t 3

62 Il registro da n bit D 1 Q 1 D 2 Q 2 Il dato memorizzato nel registro viene sovrascritto ad ogni fronte del clock D n Q n

63 Contatore

64 Il contatore binario x s n s n CI 4 Bit a 0 Full a 1 Adder a 2 a 3 s 0 s 1 s 2 s 3 b 0 b 1 b 2 b 3 s 4 = CO s n+1 = (s+1) n mod 16 Registro Accumulatore D 0 Q 0 D 1 Q 1 D 2 Q 2 D 3 Q 3

65 Watch-dog

66 Timer o watch-dog (1) start durata D fine T 0 D x T 0

67 Timer o watch-dog (2) start durata clock Timer Condizione d indifferenza fine Start, durata, fine 0,-,0 0 1,4,0 1,3,0 1,2,0 1,1,0 0,-,0 0,-,0 0,-, ,-,1 1 La macchina usa il periodo del clock come unità di misura del tempo.

68 Il semaforo I:sp,n,g F v,g,r Specifiche: rosso = 60 s s G s* giallo = 20 s Registro verde = 60 s T 0 = 20 s

69 Il grafo degli stati V1 V2 V3 G R3 R2 R1

70 La tabella di flusso stato stato lampada presente futuro verde giallo rosso V1 V2 accesa spenta spenta V2 V3 accesa spenta spenta V3 G accesa spenta spenta G R1 spenta accesa spenta R1 R2 spenta spenta accesa R2 R3 spenta spenta accesa R3 V1 spenta spenta accesa

71 La macchina sequenziale per il semaforo Stato interno s = y 2 y 1 y 0 (7 stati) Uscita u = z 1 z 2 z 3 (codice 1 su 3) Comportamento: s 2 (s+1) 2 mod 7 s n u n z 1 n z 2 n z 3 n u F(s) Contatore da zero a sei y 2 n y 1 n y 0 n s n s n y 2 n+1 y 1 n+1 y 0 n+1 R eg i s tr o T0=20 s

72 ..e la seconda direttrice di marcia? presente futuro V 1 G 1 R 1 stato stato lampade V 2 G 2 R

73

74

75

76

77

78 Esempi Il relè ad autoritenuta è una macchina asincrona: MARCIA, finché è premuto, produce passaggio di corrente ARRESTO, finché è premuto, impedisce il passaggio di corrente MARCIA e ARRESTO, finché non sono premuti, determinano o passaggio o assenza di corrente. N.B. due effetti per una sola causa, quindi è una macchina sequenziale; la durata dell ingresso non influisce, quindi è una macchina asincrona Il semaforo è una macchina sincrona: Il GIALLO sostituisce il VERDE solo dopo che è trascorsa una prefissata quantità di tempo. Il ROSSO sostituisce il GIALLO solo dopo che è trascorsa una prefissata quantità di tempo. Il VERDE sostituisce il ROSSO solo dopo che è trascorsa una prefissata quantità di tempo. N.B. effetti diversi a istanti successivi e senza modifica dell ingresso, quindi è una macchina sequenziale sincrona

79

80 Analisi del relè ad autoritenuta M Alim. A i I

81 Tabulazione degli esperimenti stato presente stato futuro M A i I Pulsante M Pulsante A Interruttore i Corrente I rilasciato rilasciato aperto NO rilasciato rilasciato chiuso SI premuto rilasciato aperto SI premuto rilasciato chiuso SI rilasciato premuto aperto NO rilasciato premuto chiuso NO premuto premuto aperto SI premuto premuto chiuso SI Situazione stabile stabile instabile stabile stabile instabile inutile inutile

82 Relè con autoritenuta: tabella di flusso e grafo degli stati M,A i M,A I i M=0 A=0 M=0 A=1 M=1 A=0 i=0 i=1 M=0 A=1 M=0 A=0 M=1 A= I

83 astrazione A M bit di stato presente i i(t +Δt) = I(t) Δt I bit di stato futuro retroazione diretta M Alim. A i I

I Bistabili. Maurizio Palesi. Maurizio Palesi 1

I Bistabili. Maurizio Palesi. Maurizio Palesi 1 I Bistabili Maurizio Palesi Maurizio Palesi 1 Sistemi digitali Si possono distinguere due classi di sistemi digitali Sistemi combinatori Il valore delle uscite al generico istante t* dipende solo dal valore

Dettagli

FSM: Macchine a Stati Finiti

FSM: Macchine a Stati Finiti FSM: Macchine a Stati Finiti Introduzione Automi di Mealy Automi di Moore Esempi Sommario Introduzione Automi di Mealy Automi di Moore Esempi Sommario Introduzione Metodo per descrivere macchine di tipo

Dettagli

Calcolatori Elettronici A a.a. 2008/2009. RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin

Calcolatori Elettronici A a.a. 2008/2009. RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin Calcolatori Elettronici A a.a. 2008/2009 RETI SEQUENZIALI: ESERCIZI Massimiliano Giacomin 1 Esercizio 1: implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente

Dettagli

Capitolo 3. Modelli. Macchine combinatorie Macchine sequenziali asincrone sincrone

Capitolo 3. Modelli. Macchine combinatorie Macchine sequenziali asincrone sincrone Capitolo 3 Moelli Mahine ominatorie Mahine sequenziali asinrone sinrone Il moello el loo o satola nera i I: alfaeto i ingresso u U: alfaeto i usita ingresso ei ati i F u usita ei risultati F: relazione

Dettagli

Prova d esame di Reti Logiche T 10 Giugno 2016

Prova d esame di Reti Logiche T 10 Giugno 2016 Prova d esame di Reti Logiche T 10 Giugno 2016 COGNOME:.. NOME:.. MATRICOLA: Si ricorda il divieto di utilizzare qualsiasi dispositivo elettronico (computer, tablet, smartphone,..) eccetto la calcolatrice,

Dettagli

Calcolatori Elettronici Reti Sequenziali Asincrone

Calcolatori Elettronici Reti Sequenziali Asincrone Calcolatori Elettronici eti equenziali Asincrone Ing. dell Automazione A.A. 2/2 Gabriele Cecchetti eti equenziali Asincrone ommario: Circuito sequenziale e bistabile Definizione di rete sequenziale asincrona

Dettagli

2.1 Rappresentazione binaria dell informazione I Interruttore I 0 alto 1 1 basso 0

2.1 Rappresentazione binaria dell informazione I Interruttore I 0 alto 1 1 basso 0 Capitolo 2 Bit 2. - Rappresentazione dell informazione 2.2 Codici binari 2.3 - Trasmissione dell informazione 2.4 - Protezione dell informazione Descrizione dei segnali Variabili binarie Bit (binary digit)

Dettagli

Flip-flop Macchine sequenziali

Flip-flop Macchine sequenziali Flip-flop Macchine sequenziali Introduzione I circuiti digitali possono essere così classificati Circuiti combinatori Il valore delle uscite ad un determinato istante dipende unicamente dal valore degli

Dettagli

AUTOMI A STATI FINITI. G. Ciaschetti

AUTOMI A STATI FINITI. G. Ciaschetti AUTOMI A STATI FINITI G. Ciaschetti CONTENUTI Definizione di sistema Classificazione dei sistemi Definizione di modello Algebra degli schemi a blocchi Sistemi sequenziali Automi a stati finiti Macchina

Dettagli

Programmazione modulare a.s.2015-2016

Programmazione modulare a.s.2015-2016 Programmazione modulare a.s.015-016 Indirizzo:Informatica \Disciplina: Telecomunicazioni Prof. MAIO Patrizia Rosi Filippo Classe:3 A 3 B Informatica ore settimanali 3 di cui di laboratorio) Libro di testo:telecomunicazioni

Dettagli

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per:

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: INTRODUZIONE AI CONTATORI Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: o Conteggio di eventi o Divisione di frequenza o Temporizzazioni Principi

Dettagli

Circuiti sequenziali e elementi di memoria

Circuiti sequenziali e elementi di memoria Il Livello Logicoigitale I circuiti sequenziali Corso ACSO prof. Cristina SILVANO Politecnico di Milano Sommario Circuiti sequenziali e elementi di memoria Bistabile SR asincrono Temporizzazione e clock

Dettagli

Automi. Sono così esempi di automi una lavatrice, un distributore automatico di bibite, un interruttore, una calcolatrice tascabile,...

Automi. Sono così esempi di automi una lavatrice, un distributore automatico di bibite, un interruttore, una calcolatrice tascabile,... Automi Con il termine automa 1 s intende un qualunque dispositivo o un suo modello, un qualunque oggetto, che esegue da se stesso un particolare compito, sulla base degli stimoli od ordini ricevuti detti

Dettagli

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi:

Modulo 8. Elettronica Digitale. Contenuti: Obiettivi: Modulo 8 Elettronica Digitale Contenuti: Introduzione Sistemi di numerazione posizionali Sistema binario Porte logiche fondamentali Porte logiche universali Metodo della forma canonica della somma per

Dettagli

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007

Macchine a stati finiti. Sommario. Sommario. M. Favalli. 5th June 2007 Sommario Macchine a stati finiti M. Favalli 5th June 27 4 Sommario () 5th June 27 / 35 () 5th June 27 2 / 35 4 Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante:

Dettagli

Macchine a stati finiti. Sommario. Sommario. M. Favalli. Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante:

Macchine a stati finiti. Sommario. Sommario. M. Favalli. Le macchine a stati si utilizzano per modellare di sistemi fisici caratterizzabili mediante: Sommario Macchine a stati finiti M. Favalli Engineering Department in Ferrara 4 Sommario (ENDIF) Analisiesintesideicircuitidigitali / 35 (ENDIF) Analisiesintesideicircuitidigitali 2 / 35 4 Le macchine

Dettagli

SISTEMI OPERATIVI. Nucleo di un SO. Il Nucleo. Il nucleo di un SO Gestione delle interruzioni Sincronizzazione tra processi Dispatcher. 06.

SISTEMI OPERATIVI. Nucleo di un SO. Il Nucleo. Il nucleo di un SO Gestione delle interruzioni Sincronizzazione tra processi Dispatcher. 06. SISTEMI OPERATIVI 06.a Il Nucleo Nucleo di un SO Il nucleo di un SO Gestione delle interruzioni Sincronizzazione tra processi Dispatcher 1 Architettura di base dei SO Due le strutture di riferimento: a

Dettagli

Macchine combinatorie

Macchine combinatorie Corso di Calcolatori Elettronici I A.A. 2010-2011 Macchine combinatorie Lezione 10 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Analisi e Sintesi di un sistema 1/2 Per analisi di

Dettagli

Architettura dei Calcolatori Reti Sequenziali Sincrone

Architettura dei Calcolatori Reti Sequenziali Sincrone Architettura dei Calcolatori Reti Sequenziali Sincrone Ing. dell Automazione A.A. 2011/12 Gabriele Cecchetti Reti Sequenziali Sincrone Sommario: Introduzione, tipi e definizione Condizioni per il corretto

Dettagli

Macchine a stati finiti G. MARSELLA UNIVERSITÀ DEL SALENTO

Macchine a stati finiti G. MARSELLA UNIVERSITÀ DEL SALENTO Macchine a stati finiti 1 G. MARSELLA UNIVERSITÀ DEL SALENTO Introduzione Al più alto livello di astrazione il progetto logico impiega un modello, la cosiddetta macchina a stati finiti, per descrivere

Dettagli

Macchine sequenziali

Macchine sequenziali Corso di Calcolatori Elettronici I A.A. 2010-2011 Macchine sequenziali Lezione 14 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Automa a Stati Finiti (ASF) E una prima astrazione di

Dettagli

Introduzione ai microcontrollori

Introduzione ai microcontrollori Introduzione ai microcontrollori L elettronica digitale nasce nel 1946 con il primo calcolatore elettronico digitale denominato ENIAC e composto esclusivamente di circuiti a valvole, anche se negli anni

Dettagli

Capitolo Acquisizione dati con PC

Capitolo Acquisizione dati con PC Capitolo 2 Acquisizione dati con PC 2.1 Generalità 2.2 Sistema di acquisizione dati analogici monocanale con PC, per segnali lentamente variabili 2.3 Sistema di acquisizione dati analogici multicanale

Dettagli

Introduzione alle macchine a stati (non definitivo)

Introduzione alle macchine a stati (non definitivo) Introduzione alle macchine a stati (non definitivo) - Introduzione Il modo migliore per affrontare un problema di automazione industriale (anche non particolarmente complesso) consiste nel dividerlo in

Dettagli

Manuale D uso MultiBox

Manuale D uso MultiBox Manuale D uso MultiBox COMANDI DELLA MACCHINA 1) INTERRUTORE GENRALE: ruotando l interruttore generale dalla posizione 0 alla posizione 1 il quadro elettrico viene alimentato. 2) PANNELLO TOUCHSCREEN:

Dettagli

(1) (2) (3) (4) 11 nessuno/a 9 10. (1) (2) (3) (4) X è il minore tra A e B nessuno/a X è sempre uguale ad A X è il maggiore tra A e B

(1) (2) (3) (4) 11 nessuno/a 9 10. (1) (2) (3) (4) X è il minore tra A e B nessuno/a X è sempre uguale ad A X è il maggiore tra A e B Compito: Domanda 1 Per l'algoritmo fornito di seguito, qual è il valore assunto dalla variabile contatore quando l'algoritmo termina: Passo 1 Poni il valore di contatore a 1 Passo 2 Ripeti i passi da 3

Dettagli

Macchine sequenziali sincrone. Macchine sincrone

Macchine sequenziali sincrone. Macchine sincrone Corso di Calcolatori Elettronici I A.A. 2010-2011 Macchine sequenziali sincrone Lezione 27 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di Ingegneria Corso di Laurea in Ingegneria

Dettagli

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico

PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico ISTITUTO TECNICO STATALE MARCHI FORTI Viale Guglielmo Marconi n 16-51017 PESCIA (PT) - ITALIA PROGRAMMA DI SCIENZE E TECNOLOGIE APPLICATE 2015/2016 Classe 2ª Sez. C Tecnologico Docente PARROTTA GIOVANNI

Dettagli

Informatica Teorica. Macchine a registri

Informatica Teorica. Macchine a registri Informatica Teorica Macchine a registri 1 Macchine a registri RAM (Random Access Machine) astrazione ragionevole di un calcolatore nastro di ingresso nastro di uscita unità centrale in grado di eseguire

Dettagli

Reti sequenziali sincrone

Reti sequenziali sincrone Reti sequenziali sincrone Un approccio strutturato (7.1-7.3, 7.5-7.6) Modelli di reti sincrone Analisi di reti sincrone Descrizioni e sintesi di reti sequenziali sincrone Sintesi con flip-flop D, DE, T

Dettagli

Reti sequenziali. Esempio di rete sequenziale: distributore automatico.

Reti sequenziali. Esempio di rete sequenziale: distributore automatico. Reti sequenziali 1 Reti sequenziali Nelle RETI COMBINATORIE il valore logico delle variabili di uscita, in un dato istante, è funzione solo dei valori delle variabili di ingresso in quello stesso istante.

Dettagli

Aggiorna. La Funzione Aggiorna Listino Aggiornare Listino Pr7 / Le connessioni / Listino METEL. Aggiorna Lis no. Aggiornamento del listino

Aggiorna. La Funzione Aggiorna Listino Aggiornare Listino Pr7 / Le connessioni / Listino METEL. Aggiorna Lis no. Aggiornamento del listino mento del listino re il listino è molto semplice e richiede poco tempo. Prima di lanciare il comando listino occorre fare attenzione al tipo di listino che si deve aggiornare. Tenere ben presente che i

Dettagli

PLC Sistemi a Logica Programmabile Il linguaggio Ladder-Parte

PLC Sistemi a Logica Programmabile Il linguaggio Ladder-Parte PLC Sistemi a Logica Programmabile Il linguaggio Ladder-Parte Seconda Prof. Nicola Ingrosso Guida di riferimento all applicazione applicazione dei Microcontrollori Programmabili IPSIA G.Ferraris Brindisi

Dettagli

Esame di FONDAMENTI DI AUTOMATICA (9 crediti) SOLUZIONE

Esame di FONDAMENTI DI AUTOMATICA (9 crediti) SOLUZIONE Esame di FONDAMENTI DI AUTOMATICA (9 crediti) Prova scritta 16 luglio 2014 SOLUZIONE ESERCIZIO 1. Dato il sistema con: si determinino gli autovalori della forma minima. Per determinare la forma minima

Dettagli

ANAGRAFE NAZIONALE CREDITI FORMATIVI. Manuale utente

ANAGRAFE NAZIONALE CREDITI FORMATIVI. Manuale utente ANAGRAFE NAZIONALE CREDITI FORMATIVI Manuale utente Versione 1.0.0 APRILE 2015 1. Registrazione Per accedere al Sistema è necessario avere un nome utente e una password, ottenibili mediante una semplice

Dettagli

La durability. I dati modificati da una transazione che ha fatto il commit non devono mai essere persi. La durability consente di reagire a:

La durability. I dati modificati da una transazione che ha fatto il commit non devono mai essere persi. La durability consente di reagire a: La durability Basi di dati: Architetture e linee di evoluzione - Seconda edizione Capitolo 2 Appunti dalle lezioni Durability (Persistenza) I dati modificati da una transazione che ha fatto il commit non

Dettagli

Laurea Specialistica in Informatica

Laurea Specialistica in Informatica Corso di Laurea in FISICA Laurea Specialistica in Informatica Fisica dell informazione 1 Elementi di Architettura degli elaboratori Prof. Luca Gammaitoni Informazioni sul corso: www.fisica.unipg unipg.it/gammaitoni/fisinfoit/gammaitoni/fisinfo

Dettagli

Calcolatori Elettronici B a.a. 2006/2007

Calcolatori Elettronici B a.a. 2006/2007 Calcolatori Elettronici B a.a. 2006/2007 RETI LOGICHE: RICHIAMI Massimiliano Giacomin 1 Due tipi di unità funzionali Elementi di tipo combinatorio: - valori di uscita dipendono solo da valori in ingresso

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2015/16 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone Sintesi di Reti Sequenziali Sincrone Maurizio Palesi Maurizio Palesi 1 Macchina Sequenziale Una macchina sequenziale è definita dalla quintupla (I,U,S,δ,λ) dove: I è l insieme finito dei simboli d ingresso

Dettagli

Compagnia Arcieri Vicenza Fitarco 06034. Manuale operativo. Crono Archery

Compagnia Arcieri Vicenza Fitarco 06034. Manuale operativo. Crono Archery Manuale operativo Crono Archery Software per Direzione Tiri. Automazione centraline analogiche. La centralina della nostra compagnia è abbastanza completa potendo lavorare in manuale e in automatico visto

Dettagli

PowerDIP Software gestione presenze del personale aziendale. - Guida all inserimento e gestione dei turni di lavoro -

PowerDIP Software gestione presenze del personale aziendale. - Guida all inserimento e gestione dei turni di lavoro - PowerDIP Software gestione presenze del personale aziendale - Guida all inserimento e gestione dei turni di lavoro - Informazioni preliminari. E necessario innanzitutto scaricare e installare l ultima

Dettagli

Appunti del corso di Informatica 1 (IN110 Fondamenti) 3 Modelli di calcolo

Appunti del corso di Informatica 1 (IN110 Fondamenti) 3 Modelli di calcolo Università Roma Tre Dipartimento di Matematica e Fisica Corso di Laurea in Matematica Appunti del corso di Informatica 1 (IN110 Fondamenti) 3 Modelli di calcolo Marco Liverani (liverani@mat.uniroma3.it)

Dettagli

I CONTATORI SINCRONI

I CONTATORI SINCRONI I CONTATORI SINCRONI Premessa I contatori sincroni sono temporizzati in modo tale che tutti i Flip-Flop sono commutato ( triggerati ) nello stesso istante. Ciò si realizza collegando la linea del clock

Dettagli

Registri. «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net

Registri. «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net «a2» 2013.11.11 --- Copyright Daniele Giacomini -- appunti2@gmail.com http://informaticalibera.net Registri Registri semplici....................................... 1823 Registri a scorrimento..................................

Dettagli

Si considerino le seguenti specifiche per la realizzazione di un sito web per la gestione di abbonamenti a riviste di vario genere..

Si considerino le seguenti specifiche per la realizzazione di un sito web per la gestione di abbonamenti a riviste di vario genere.. 16 Luglio 2014 : Cognome: Matricola: Esercizio 1 Si considerino le seguenti specifiche per la realizzazione di un sito web per la gestione di abbonamenti a riviste di vario genere.. Si vuole realizzare

Dettagli

Corso di Laurea in Matematica per l Informatica e la Comunicazione Scientifica

Corso di Laurea in Matematica per l Informatica e la Comunicazione Scientifica Corso di Laurea in Matematica per l Informatica e la Comunicazione Scientifica Soluzione del compito di Matematica Discreta 1 del 25 luglio 200 1. Qual è il numero di applicazioni f : A = {1,..., 5} B

Dettagli

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati

Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati e collegati Il Livello LogicoDigitale i Blocchi funzionali combinatori Circuiti integrati Un circuito integrato è una piastrina di silicio (o chip), quadrata o rettangolare, sulla cui superficie vengono realizzati

Dettagli

Architettura di un calcolatore

Architettura di un calcolatore Architettura di un calcolatore Macchina di von Neumann: CPU (Central Processing Unit, CU+ALU) Memoria Centrale BUS di sistema Interfaccia alle periferiche I/O Fondamenti di Informatica 1 Architettura di

Dettagli

Procedura operativa per la gestione della funzione di formazione classi prime

Procedura operativa per la gestione della funzione di formazione classi prime Procedura operativa per la gestione della funzione di formazione classi prime Questa funzione viene fornita allo scopo di effettuare la formazione delle classi prime nel rispetto dei parametri indicati

Dettagli

PROGRAMMA SVOLTO E L E T T R O N I C A Anno Scolastico 2014/2015 Classe III Ae Prof. Boldrini Renato Prof. Procopio Sostene

PROGRAMMA SVOLTO E L E T T R O N I C A Anno Scolastico 2014/2015 Classe III Ae Prof. Boldrini Renato Prof. Procopio Sostene PROGRAMMA SVOLTO E L E T T R O N I C A Anno Scolastico 2014/2015 Classe III Ae Prof. Boldrini Renato Prof. Procopio Sostene LIBRI DI TESTO: Autore: Conte/Ceserani/Impallomeni Titolo: ELETTRONICA ED ELETTROTECNICA

Dettagli

Ciclo di Istruzione. Ciclo di Istruzione. Controllo. Ciclo di Istruzione (diagramma di flusso) Lezione 5 e 6

Ciclo di Istruzione. Ciclo di Istruzione. Controllo. Ciclo di Istruzione (diagramma di flusso) Lezione 5 e 6 Ciclo di Istruzione Può essere suddiviso in 4 tipi di sequenze di microoperazioni (cioè attività di calcolo aritmetico/logico, trasferimento e memorizzazione dei dati), non tutte necessariamente da realizzare

Dettagli

Normalizzazione. Definizione

Normalizzazione. Definizione Normalizzazione Definizione Le forme normali 2 Una forma normale è una proprietà di una base di dati relazionale che ne garantisce la qualità, cioè l'assenza di determinati difetti Quando una relazione

Dettagli

SCHEDA PRODOTTO NDA LIRA DIGITAL Interfaccia digitale di un inverter trifase per New Decors Art Srl

SCHEDA PRODOTTO NDA LIRA DIGITAL Interfaccia digitale di un inverter trifase per New Decors Art Srl Modello Codice SCHEDA PRODOTTO NDA LIRA DIGITAL Interfaccia digitale di un inverter trifase per New Decors Art Srl NDA LIRA DIGITAL Note sulle Specifiche Tecniche Il prodotto NDA LIRA DIGITAL è una centralina

Dettagli

Modulo 2 Data Base - Modello Relazionale

Modulo 2 Data Base - Modello Relazionale Modulo 2 Data Base - Modello Relazionale Università degli Studi di Salerno Corso di Laurea in Scienze della comunicazione Informatica generale Docente: Angela Peduto A.A. 2004/2005 Modello Relazionale

Dettagli

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer

Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Corso di Calcolatori Elettronici I A.A. 20-202 Macchine combinatorie: encoder/decoder e multiplexer/demultiplexer Lezione 5 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di

Dettagli

A.C. Neve Esercizi Digitali 1

A.C. Neve Esercizi Digitali 1 Esercizi di Elettronica Digitale.. Neve Esercizi Digitali 1 Porte logiche Elementari ND OR NND NOR EXOR EXNOR 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 1 0 0 0 1 * Reti logiche con interruttori

Dettagli

U 1 . - - . - - Interfaccia. U m

U 1 . - - . - - Interfaccia. U m Introduzione La teoria delle reti logiche tratta problemi connessi con la realizzazione e il funzionamento di reti per l elaborazione dell informazione (il termine logico deriva dalla stretta parentela

Dettagli

Circuiti integrati. Circuiti integrati

Circuiti integrati. Circuiti integrati Circuiti integrati Circuiti integrati Le porte logiche non vengono prodotte isolatamente, ma sono realizzate su circuiti integrati Un circuito integrato è una piastrina di silicio (o chip), quadrata o

Dettagli

ELLISSE AL VOSTRO FIANCO PER LA SICUREZZA

ELLISSE AL VOSTRO FIANCO PER LA SICUREZZA Organismo Abilitato Il primo organismo abilitato dal Ministero delle Attività Produttive ad effettuare le verifiche di legge degli impianti ai sensi del DPR 462/01 AL VOSTRO FIANCO PER LA SICUREZZA Abilitazione

Dettagli

Anno Accademico 2005-06 PREPARAZIONE DI LEZIONI E DISPENSE. Automi a Stati Finiti

Anno Accademico 2005-06 PREPARAZIONE DI LEZIONI E DISPENSE. Automi a Stati Finiti Anno Accademico 2005-06 PREPARAZIONE DI LEZIONI E DISPENSE Prof. Enrico Ambrosini Automi a Stati Finiti Prof. Claudio Cancelli SILSIS- Sede di Bergamo e Brescia 2 Automi a Stati Finiti SCOPO SVILUPPARE

Dettagli

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni

orario ricevimento via e-mail: orario ufficio risposta entro 3 giorni FACOLTA : INGEGNERIA CORSO DI LAUREA: INFORMATICA INSEGNAMENTO: CONTROLLI DIGITALI Modulo 1 NOME DOCENTE: Prof. Giovanni Fedecostante indirizzo e-mail: giovanni.fedecostante@uniecampus.it orario ricevimento

Dettagli

ELENCHI DEL PERSONALE

ELENCHI DEL PERSONALE ELENCHI DEL PERSONALE Cineca CSA Pagina 1 di 23 Funzione di menu: ELENCHI DEL PERSONALE. Percorso di menu (previa necessaria autorizzazione all uso): PERSONALE > ELENCHI DEL PERSONALE Nelle pagine successive

Dettagli

IL SISTEMA ibin NUOVO! LA NUOVA ERA DELL' APPROV- VIGIONAMENTO INTELLIGENTE

IL SISTEMA ibin NUOVO! LA NUOVA ERA DELL' APPROV- VIGIONAMENTO INTELLIGENTE IL SISTEMA ibin le scorte sotto controllo NUOVO! LA NUOVA ERA DELL' APPROV- VIGIONAMENTO INTELLIGENTE Per la prima volta siamo riusciti a far trasmettere automaticamente alla nostra logistica le informazioni

Dettagli

MATERIA : SISTEMI ELETTRICI AUTOMATICI INS. TEORICO: PROF. CIVITAREALE ALBERTO

MATERIA : SISTEMI ELETTRICI AUTOMATICI INS. TEORICO: PROF. CIVITAREALE ALBERTO PIANO DI LAVORO CLASSE 4 ES A.S. 2014-2015 MATERIA : SISTEMI ELETTRICI AUTOMATICI INS. TEORICO: PROF. CIVITAREALE ALBERTO INS. TECNICO-PRATICO: PROF. BARONI MAURIZIO MODULO 1: SISTEMI E MODELLI (30 ore)

Dettagli

Il mandato professionale e la formazione del preventivodi massima

Il mandato professionale e la formazione del preventivodi massima Il mandato professionale e la formazione del preventivodi massima Relatori: Rag. Bruno Gagliano Dott. Alessandro De Sanctis 27 ottobre 2015 Sede Ordine viale Lavagnini n.42 Software applicativo Il CNDCEC,

Dettagli

Corso di Informatica

Corso di Informatica Corso di Informatica Modulo 1 4-Rappresentazione di informazioni non numeriche 1 Prerequisiti Struttura del sistema di elaborazione Evoluzione dei sistemi di elaborazione Uso elementare della multimedialità

Dettagli

7 Disegni sperimentali ad un solo fattore. Giulio Vidotto Raffaele Cioffi

7 Disegni sperimentali ad un solo fattore. Giulio Vidotto Raffaele Cioffi 7 Disegni sperimentali ad un solo fattore Giulio Vidotto Raffaele Cioffi Indice: 7.1 Veri esperimenti 7.2 Fattori livelli condizioni e trattamenti 7.3 Alcuni disegni sperimentali da evitare 7.4 Elementi

Dettagli

Manuale Operativo Gestione dei Ticket di assistenza 15 Marzo 2016

Manuale Operativo Gestione dei Ticket di assistenza 15 Marzo 2016 Manuale Operativo Gestione dei Ticket di assistenza 15 Marzo 2016 Manuale Operativo Gestione Ticket 2 Sommario Premessa... 3 Introduzione... 3 1. Utente pre-login... 4 2. Utente post-login... 6 3. Gestione

Dettagli

Monitor Tensione Batteria per Camper

Monitor Tensione Batteria per Camper Monitor Tensione Batteria per Camper Avviso importante: Questo schema è fornito solo ed esclusivamente per scopo di studio e test personale e pertanto non se ne assicura il funzionamento e non si assumono

Dettagli

Ingegneria del Software 9. Macchine a stati. Dipartimento di Informatica Università di Pisa A.A. 2014/15

Ingegneria del Software 9. Macchine a stati. Dipartimento di Informatica Università di Pisa A.A. 2014/15 Ingegneria del Software 9. Macchine a stati Dipartimento di Informatica Università di Pisa A.A. 2014/15 so far Modello del dominio Modello statico: diagrammi delle classi Modello dinamico : diagrammi di

Dettagli

Funzionamento generale

Funzionamento generale ISTRUZIONI D USO E MANUTENZIONE MODULO LED D EMERGENZA XISTEMLED Funzionamento generale Il modulo funziona a tensione di rete da 230V e, quando è collegato, carica il pacco batterie interna in dotazione

Dettagli

GRIGLIA DI CORREZIONE 2012 Matematica Classe V Scuola Primaria

GRIGLIA DI CORREZIONE 2012 Matematica Classe V Scuola Primaria GRIGLIA DI CORREZIONE 2012 Matematica Classe V Scuola Primaria LEGENDA AMBITI: NU (Numeri), SF (Spazio e figure), DP (Dati e previsioni), RF (Relazioni e funzioni) LEGENDA PROCESSI: 1. Conoscere e padroneggiare

Dettagli

Macchine a stati finiti sincrone

Macchine a stati finiti sincrone Macchine a stati finiti sincrone Modulo 6 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Macchine a stati finiti Dall

Dettagli

diagramma funzionale sequenziale Sequential functional chart (SFC)

diagramma funzionale sequenziale Sequential functional chart (SFC) Introduzione Sequential functional chart (SFC) Linguaggio innovativo adatto per la scrittura di algoritmi per il controllo logico/sequenziale Nasce come risultato di una apposita commissione istituita

Dettagli

Manuale d uso dell interfaccia di voto

Manuale d uso dell interfaccia di voto Manuale d uso dell interfaccia di voto (autenticazione con username e password) Versione 1.2 CINECA Via Magnanelli 6/3 40033 Casalecchio di Reno (BO) Versione sistema u-vote: 2.1 Data: Aprile 2012 Pagina

Dettagli

Anagrafe Nazionale Studenti aggiornamento sincronizzato. Guida Operativa

Anagrafe Nazionale Studenti aggiornamento sincronizzato. Guida Operativa Anagrafe Nazionale Studenti aggiornamento sincronizzato Guida Operativa 1 INTRODUZIONE L'aggiornamento sincronizzato consente di riportare in tempo reale sul SIDI le modifiche operate sul programma Gestione

Dettagli

Corso di Reti Logiche. Macchine Sequenziali. Dipartimento di Informatica e Sistemistica Università degli Studi di Napoli Federico II

Corso di Reti Logiche. Macchine Sequenziali. Dipartimento di Informatica e Sistemistica Università degli Studi di Napoli Federico II Corso di Reti Logiche Macchine Sequenziali Dipartimento di Informatica e Sistemistica Università degli Studi di Napoli Federico II 1 Macchine sequenziali Š Includono il fattore tempo nel funzionamento

Dettagli

MANUALE UTENTE RIO-42

MANUALE UTENTE RIO-42 MANUALE UTENTE RIO-42 Dispositivo generico di Telecontrollo con 4 ingressi e 2 uscite. Manuale Utente RIO-42 Rev.0 SOMMARIO PRESENTAZIONE... 3 Caratteristiche generali (TODO)... 3 Caratteristiche tecniche...

Dettagli

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it

Lezione 2 Circuiti logici. Mauro Piccolo piccolo@di.unito.it Lezione 2 Circuiti logici Mauro Piccolo piccolo@di.unito.it Bit e configurazioni di bit Bit: una cifra binaria (binary digit) 0 oppure 1 Sequenze di bit per rappresentare l'informazione Numeri Caratteri

Dettagli

ALLFLEX STICK READER RS 320

ALLFLEX STICK READER RS 320 ALLFLEX STICK READER RS 320 ISTRUZIONI RAPIDE PER L USO ( FARE RIFERIMENTO AL MANUALE CONTENUTO NELLA CONFEZIONE ) 1) CONTENUTO DELLA CONFEZIONE - STICK READER ALLFLEX RS 320 - ISTRUZIONI D USO - UNA BATTERIA

Dettagli

Lezione 2. Sommario. Il sistema binario. La differenza Analogico/Digitale Il sistema binario

Lezione 2. Sommario. Il sistema binario. La differenza Analogico/Digitale Il sistema binario Lezione 2 Il sistema binario Sommario La differenza Analogico/Digitale Il sistema binario 1 La conoscenza del mondo Per poter parlare (ed elaborare) degli oggetti (nella visione scientifica) si deve poter

Dettagli

Flip-flop, registri, la macchina a stati finiti

Flip-flop, registri, la macchina a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Flip-flop, registri, la macchina a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di

Dettagli

SIMATIC WinCC V7.0 SP2 COMUNICAZIONE CON PLC S7. Leonetti Domenico Versione 2.0

SIMATIC WinCC V7.0 SP2 COMUNICAZIONE CON PLC S7. Leonetti Domenico Versione 2.0 SIMATIC WinCC V7.0 SP2 COMUNICAZIONE CON PLC S7 Leonetti Domenico Versione 2.0 Scopo della presentazione La seguente presentazione è limitata alle diverse tipologie di collegamento tra WinCC e i PLC S7-300/400,

Dettagli

Manutenzione periodica al PIANO DEI CONTI

Manutenzione periodica al PIANO DEI CONTI Manutenzione periodica al PIANO DEI CONTI La nuova gestione Utilità Piano dei Conti Premessa... 2 La creazione di un nuovo sottoconto... 3 1. Nuovo sottoconto tramite duplica da piano dei conti standard...

Dettagli

Esercitazioni di statistica

Esercitazioni di statistica Esercitazioni di statistica Misure di associazione: Indipendenza assoluta e in media Stefania Spina Universitá di Napoli Federico II stefania.spina@unina.it 22 ottobre 2014 Stefania Spina Esercitazioni

Dettagli

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state.

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state. Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based ES-TLC 5/6 - F. ella Corte V o i i 2 2 5 5 V V o o V V 5 V

Dettagli

Esercizio C2.1 - Acquisizione dati: specifiche dei blocchi

Esercizio C2.1 - Acquisizione dati: specifiche dei blocchi Esercizio C2.1 - Acquisizione dati: specifiche dei blocchi È dato un segnale analogico avente banda 2 khz e dinamica compresa tra -2 V e 2V. Tale segnale deve essere convertito in segnale digitale da un

Dettagli

DEFINITE LE MODALITÀ DI INVIO DELLE SPESE SANITARIE PER MEDICI E ODONTOIATRI PER IL MOD. 730 PRECOMPILATO

DEFINITE LE MODALITÀ DI INVIO DELLE SPESE SANITARIE PER MEDICI E ODONTOIATRI PER IL MOD. 730 PRECOMPILATO DEFINITE LE MODALITÀ DI INVIO DELLE SPESE SANITARIE PER MEDICI E ODONTOIATRI PER IL MOD. 730 PRECOMPILATO Recentemente sono state pubblicate sul sito Internet del Sistema Tessera Sanitaria (STS) le procedure

Dettagli

Reti logiche e componenti di un elaboratore

Reti logiche e componenti di un elaboratore FONDAMENTI DI INFORMATICA Ing. Davide PIERATTONI Facoltà di Ingegneria Università degli Studi di Udine Reti logiche e componenti di un elaboratore 2000-2007 P.L. Montessoro - D. Pierattoni (cfr. nota di

Dettagli

La certificazione di qualità del contatore

La certificazione di qualità del contatore La certificazione di qualità del contatore Il contatore elettronico ha superato tutte le prove di qualità e sicurezza previste dalla normativa vigente ed è conforme alle Direttive Comunitarie applicabili.

Dettagli

Esercitazioni di Reti Logiche. Lezione 1 Rappresentazione dell'informazione. Zeynep KIZILTAN zkiziltan@deis.unibo.it

Esercitazioni di Reti Logiche. Lezione 1 Rappresentazione dell'informazione. Zeynep KIZILTAN zkiziltan@deis.unibo.it Esercitazioni di Reti Logiche Lezione 1 Rappresentazione dell'informazione Zeynep KIZILTAN zkiziltan@deis.unibo.it Introduzione Zeynep KIZILTAN Si pronuncia Z come la S di Rose altrimenti, si legge come

Dettagli

E un trasduttore digitale in grado di fornire una indicazione binaria della. Non sfruttano alcun principio fisico. Nei trasduttori lineari a principio

E un trasduttore digitale in grado di fornire una indicazione binaria della. Non sfruttano alcun principio fisico. Nei trasduttori lineari a principio TRASDUTTORI: ENCODER (detto anche CODIFICATORE OTTICO) E un trasduttore digitale in grado di fornire una indicazione binaria della grandezza fisica oggetto di misura ENCODER ASSOLUTO DI POSIZIONE Non sfruttano

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI ALBA ANNO SCOLASTICO 2015/2016 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016 CLASSE 3 I Discip lina: Elettrotecnica ed Elettronica PROGETTAZIONE DIDATTICA ANNUALE Elaborata e sottoscritta dai docenti: cognome

Dettagli

Corso di Informatica

Corso di Informatica CdLS in Odontoiatria e Protesi Dentarie Corso di Informatica Prof. Crescenzio Gallo crescenzio.gallo@unifg.it Immagini in movimento 2 Immagini in movimento Memorizzazione mediante sequenze di fotogrammi.

Dettagli

Lavoro & Previdenza La circolare su temi previdenziali e giuslavoristici

Lavoro & Previdenza La circolare su temi previdenziali e giuslavoristici Lavoro & Previdenza La circolare su temi previdenziali e giuslavoristici N. 47 11.03.2016 CU 2016: rettifiche e sanzioni In caso di dati omessi o errati si ha tempo fino a lunedì 14 marzo per reinoltrare

Dettagli

POSTALIZZAZIONE Manuale d'uso del modulo di postalizzazione di RipartoIG

POSTALIZZAZIONE Manuale d'uso del modulo di postalizzazione di RipartoIG POSTALIZZAZIONE Manuale d'uso del modulo di postalizzazione di RipartoIG Ultimo Aggiornamento: 15 Aprile 2016 Ultima versione disponibile al link: http://www.inve.it/external/manuale Postalizzazione RipartoIG

Dettagli

CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I)

CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Codifica delle Informazioni T insieme delle informazioni da rappresentare E insieme

Dettagli

Sintesi di Reti Sequenziali Sincrone

Sintesi di Reti Sequenziali Sincrone LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 9 Prof. Rosario Cerbone rosario.cerbone@uniparthenope.it a.a. 2007-2008 http://digilander.libero.it/rosario.cerbone Sintesi di Reti Sequenziali Sincrone

Dettagli

ACCESS. Database: archivio elettronico, dotato di un programma di interfaccia che facilita la registrazione e la ricerca dei dati.

ACCESS. Database: archivio elettronico, dotato di un programma di interfaccia che facilita la registrazione e la ricerca dei dati. ACCESS Database: archivio elettronico, dotato di un programma di interfaccia che facilita la registrazione e la ricerca dei dati. Database Relazionale: tipo di database attualmente più diffuso grazie alla

Dettagli