Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Page 1. SisElnE2 13/12/2002 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D"

Transcript

1 Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D INTERFACCIAMENTO DEI DISPOSITIVI LOGICI D2 Interfacciamento elettrico e compatibilità» stadi di uscita» compatibilità tra dispositivi logici 3/2/ SisElnD2 - MZ Obiettivi del gruppo di lezioni D Moduli digitali in genere» Cosa è un circuito digitale dal punto di vista elettrico (tensioni di alimentazione, tensioni di ingresso e uscita, correnti di ingresso e uscita, consumo)» Analisi del comportamento dinamico dei dispositivi logici (tempi di salita e discesa, tempi di propagazione)» Come si interfacciano diversi dispositivi logici (stadi di uscita, compatibilità, fan-out) Interfacciamento tra mondo analogico e digitale» Come si converte un segnale analogico in uno digitale» Cosa sono e come funzionano i comparatori di soglia senza e con isteresi 3/2/ SisElnD2 - MZ Obiettivi di questa lezione (D2)» Stadi di uscita di dispositivi logici» Esempi di interfacciamento tra diverse famiglie logiche» Semplici calcoli di progetto di interfaccia con resistenze di pull-up 3/2/ SisElnD2 - MZ Page MZ

2 STADI DI USCITA STADIO DI USCITA TOTEM POLE L USCITA È COLLEGATA ATTRAVERSO UN DEVIATORE O A O A NELLA REALTÀ IL DEVIATORE È REALIZZATO CON DUE INTERRUTTORI (SWITCH) 3/2/ SisElnD2 - MZ STADI DI USCITA 2 STADIO DI USCITA TOTEM POLE SW u SW d Rpd Quando un interruttore (SWu o SWd) è chiuso l altro è aperto e viceversa. è quindi sempre collegato o alla o a tramite una resistenza o Rpd che definisce anche la resistenza di uscita a livello alto e a livello basso Se le e Rpd sono diverse, anche le costanti di tempo di carica e scarica della capacità di uscita differiranno 3/2/ SisElnD2 - MZ STADI DI USCITA 3 NON SI POSSONO COLLEGARE INSIEME LE USCITE DI DUE DISPOSITIVI CON STADIO TOTEM POLE SWu ISC 2 2 SWu2 SWd Rpd SWd2 Rpd2 Se i due dispositivi forzano valori diversi si crea un percorso conduttivo a bassa resistenza tra alimentazione e!!!! (Collisione) 3/2/ SisElnD2 - MZ Page 2 MZ 2

3 STADI DI USCITA 4 Perché si devono collegare più uscite logiche insieme? Per realizzare funzioni logiche cablate senza usare dispositivi fisici (WIRED - OR logic) Per avere sistemi modulari in cui non è noto a priori il numero di dispositivi logici connessi (ad esempio il numero di schede collegate sul bus di un PC) OCCORRE USARE STADI DI USCITA CHE PERMETTANO LA CONNESSIONE DIRETTA DELLE USCITE 3/2/ SisElnD2 - MZ STADI DI USCITA 5 STADIO DI USCITA THREE-STATE Concettualmente è simile al TOTEM-POLE, solo che il deviatore è a tre posizioni, permettendo anche la connessione ad un terzo morsetto non connesso È il cosiddetto TERZO STATO o stato di ALTA IMPEDENZA (Z) in cui il dispositivo NON pilota l uscita ma presenta un impedenza d uscita ELEVATISSIMA (HIGH Z) 3/2/ SisElnD2 - MZ STADI DI USCITA 6 STADIO DI USCITA THREE-STATE SW u SW d Rpd È realizzato come il TOTEM-POLE, solo che è possibile lasciare aperti entrambi gli interruttori (SWu e SWd APERTI) È necessario un segnale di controllo per abilitare l uscita (OUTPUT ENABLE - OE) Esempio: memorie, in cui l indirizzo specifica quale banco di memoria deve parlare sul bus 3/2/ SisElnD2 - MZ Page 3 MZ 3

4 STADI DI USCITA 7 STADIO DI USCITA THREE-STATE In OE In L H - OE L L H H L Hi-Z ATTENZIONE!!!! Se inavvertitamente si abilitano due uscite contemporaneamente si ha lo stesso problema dello stadio totem-pole 3/2/ SisElnD2 - MZ STADI DI USCITA 8 STADIO DI USCITA THREE-STATE Serve per collegare più uscite contemporaneamente: un solo stadio di uscita per volta deve essere abilitato dal segnale OE attivo In In2 In3 OE OE2 OE3 3/2/ SisElnD2 - MZ STADI DI USCITA 9 STADIO DI USCITA OPEN_COLLECTOR (OPEN_DRAIN) SW d Lo stadio di uscita è realizzato semplicemente con un interruttore verso la tensione di riferimento ( nell esempio) In Se l interruttore è chiuso viene forzato a, se è aperto lo stadio si comporta come lo stadio three-state in alta impedenza Non si può avere collisione Esempio: linee di richiesta di interruzione IRQ 3/2/ SisElnD2 - MZ Page 4 MZ 4

5 STADI DI USCITA 0 STADIO DI USCITA OPEN_COLLECTOR (OPEN_DRAIN) Per funzionare è necessaria una resistenza (resistenza di Pull-Up) per tirare su la tensione quando lo stadio opencollector non pilota l uscita (SW aperto) SW d SW d2 Basta che uno SW sia chiuso perché la linea vada a livello basso 3/2/ SisElnD2 - MZ STADI DI USCITA STADIO DI USCITA OPEN_COLLECTOR (OPEN_DRAIN) REALE SW d Rpd SW d2 Rpd I valori di resistenza (solitamente >> Rpd) incidono sui ritardi durante la commutazione Le costanti di tempo durante le commutazioni sono τr = C τf = RpdC 3/2/ SisElnD2 - MZ STADI DI USCITA 2 STADIO DI USCITA OPEN_COLLECTOR (OPEN-DRAIN) Calcolo della m 2 n In generale ci saranno m driver e n ricevitori (per semplicità supponiamo dello stesso tipo) 3/2/ SisElnD2 - MZ Page 5 MZ 5

6 STADI DI USCITA 3 Calcolo della IR m IOH m H : Nessun driver pilota la linea la corrente in deve sostenere tutte le IOH e le IIH garantendo la VOH n IIH 2 n IR = ( VH) / > m IOH + n IIH Nel caso peggiore: ( min VH) / max > m IOH + n IIH 3/2/ SisElnD2 - MZ STADI DI USCITA 4 Calcolo della IR IOL m L : Supponiamo un solo driver che pilota la linea a L la corrente in e le IIL non devono superare la massima IOL (garantendo così la VOL) n IIL 2 n IR + n IIL = ( VIL) / + n IIL < IOL Nel caso peggiore: ( max VIL) / min < IOL -n IIL 3/2/ SisElnD2 - MZ STADI DI USCITA 5 Calcolo della m 2 n Si ottiene un intervallo di valori di validi: Rmin < < Rmax Che criterio si usa per la scelta? Piccole R Maggior velocità Grandi R Minor Potenza dissipata 3/2/ SisElnD2 - MZ Page 6 MZ 6

7 CALCOLO DI Calcolare la per una linea con 3 driver O.C. e 4 ricevitori con le caratteristiche sotto riportate ( = 5 V +- 5%) Negli O.C. la IOH VOL = 0.5 V entra dentro IOH = 00 µa il dispositivo VOH = 3.76 V IOL = 8 ma degradando il livello VIL = 0.8V IIL = - µa alto!!!!! IIH = µa VIH = 3.5 V Nell ipotesi di pilotare una C di 50pF, come risulta il tempo di salita sulla linea? 3/2/ SisElnD2 - MZ ESERCIZIO: FAN OUT QUANTE PORTE DI TIPO TTL LS SI POSSONO PILOTARE? LS = 5V KΩ HCT LS HCT Rpd 0 KΩ? (LS) VOL = 0.5 V VOL = 0.5 V VOH = 2.7 V VOH = 3.76 V VIL = 0.8V VIL = 0.8V VIH = 3.5 V VIH = 2.0 V IOH = µa IOH = - 00 µa IOL = 8 ma IOL = 8 ma IIL = ma IIL = - µa IIH = 20 µa IIH = µa 3/2/ SisElnD2 - MZ ESERCIZIO: FAN OUT L LS = 5V kω IPU HCT Rpd 0 kω IPD? (LS) LA CORRENTE DISPONIBILE A LIVELLO L VALE: IOL IPU = (8 4.5) ma = 3.5 ma IPD = VOL / RPD = 0.5V / 0 kω = 50 µa (TRASCURABILE) LA IIL DELLA FAMIGLIA HCT È µa, DUNQUE TRASCURABILE DATO CHE A LIVELLO L LE PORTE LS HANNO BISOGNO DI 400µA FAN-OUT LS0 = 3.5mA /400µA= 8 PORTE A LIVELLO L È VERIFICATA LA COMPATIBILITÀ DELLE TENSIONI 3/2/ SisElnD2 - MZ Page 7 MZ 7

8 ESERCIZIO: FAN OUT H LS = 5V kω HCT IPU LA CORRENTE A LIVELLO ALTO VALE: IOH + IPU IPD = (5 2.7)/ (2.7/0) ma Rpd 0 kω IPD? (LS) = 2.43 ma SI NOTI CHE LA CORRENTE È MAGGIORE RISPETTO A IOH!! LA IIH DELLA FAMIGLIA HCT È µa, DUNQUE TRASCURABILE DATO CHE A LIVELLO ALTO LE LS PORTE HANNO BISOGNO DI 20 µa FAN-OUT LS = 2.43mA /20µA = 2 PORTE A LIVELLO ALTO È VERIFICATA LA COMPATIBILITÀ DELLE TENSIONI IN DEFINITIVA IL NUMERO DI PORTE DI TIPO LS PILOTABILI È PARI A 8 3/2/ SisElnD2 - MZ SEGNALE DIFFERENZIALE ALCUNI DISPOSITIVI (SOLITAMENTE PER ALTA VELOCITÀ) HANNO STADI DI USCITA CHE FORNISCONO OLTRE AL SEGNALE DI USCITA ANCHE IL SUO COMPLEMENTARE. IN QUESTI CASI ANCHE GLI STADI DI INGRESSO RICHIEDONO SEGNALI COMPLEMENTARI PER POTER AGIRE AL MASSIMO DELLA VELOCITÀ LINEA DIFFERENZIALE 3/2/ SisElnD2 - MZ SEGNALE DIFFERENZIALE 2 LA TRASMISSIONE DIFFERENZIALE PRESENTA NOTEVOLI VANTAGGI: MAGGIORE IMMUNITÀ AL RUMORE (CONVERTITO IN MODO COMUNE) MINORE DINAMICA DEI SEGNALI (E QUINDI MAGGIOR VELOCITÀ) 3/2/ SisElnD2 - MZ Page 8 MZ 8

D2x - Presentazione della lezione D2. D2a STADI DI USCITA

D2x - Presentazione della lezione D2. D2a STADI DI USCITA D2x - Presentazione della lezione D2 /- Obiettivi! conoscere diverse forme di stadi di uscita di dispositivi logici! saper calcolare resistori di pull-up per open collector! saper eseguire calcoli di fanout!

Dettagli

Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D

Page 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni D Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D - Versione IVREA - AA 2003-04 D2 - Interfacciamento elettrico e famiglie logiche - stadi di uscita - famiglie logiche 7-Jan-04 - Obiettivi del

Dettagli

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Page 1. D - Versione IVREA - AA D2 - Interfacciamento elettrico e famiglie logiche

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Page 1. D - Versione IVREA - AA D2 - Interfacciamento elettrico e famiglie logiche Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D - Versione IVREA - AA 2003-04 D2 - Interfacciamento elettrico e famiglie logiche - stadi di uscita - famiglie logiche 7-Jan-04-1 Page 1 Obiettivi

Dettagli

Page 1 SISTEMI ELETTRONICI. SisElnD2 01/02/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni D.

Page 1 SISTEMI ELETTRONICI. SisElnD2 01/02/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni D. gegneria dell formazione Obiettivi del gruppo di lezioni D Modulo SISTEMI EETTRONICI D - CIRCUITI DIGITAI D - terfacciamento elettrico e famiglie logiche - stadi di uscita - compatibilità - famiglie logiche

Dettagli

SISTEMI SISTEMI. D1y - Presentazione del gruppo di lezioni D. impostazione. progettazione. D1y - Presentazione del gruppo di lezioni D.

SISTEMI SISTEMI. D1y - Presentazione del gruppo di lezioni D. impostazione. progettazione. D1y - Presentazione del gruppo di lezioni D. D1y - Presentazione del gruppo di lezioni D 1/5 - Dove siamo? A SISTEMI impostazione B componenti analogici C D E componenti digitali F SISTEMI D1y - Presentazione del gruppo di lezioni D 2/5 - Dove sono

Dettagli

SisElnF1 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori

SisElnF1 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E CIRCUITI COMBINATORI E SEQUENZIALI E1 Circuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti sequenziali

Dettagli

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica

ITS Einaudi Appunti T.D.P. ITS Einaudi ITS EINAUDI. Elettronica e Telecomunicazioni. Tecnologia e Disegno per la Progettazione Elettronica ITS EINAUDI Elettronica e Telecomunicazioni Tecnologia e Disegno per la Progettazione Elettronica Porte Logiche PORTE LOGICHE - i parametri dei fogli tecnici Valori Massimi Assoluti Vcc max, Vin max, T

Dettagli

Logica cablata (wired logic)

Logica cablata (wired logic) Logica cablata (wired logic) Cosa succede quando si collegano in parallelo le uscite di più porte appartenenti alla stessa famiglia logica? Si realizza una ulteriore funzione logica tra le uscite Le porte

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 19 - E - 1: Comparatori di soglia Comparatori con isteresi Circuiti misti analogici

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 5/9/2016 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione Ce1: Esercizi su interconnessioni ELETTRONICA APPLICATA E MISURE Dante DEL CORSO Ce1 Esercizi: interconnessioni» Ritardi e skew con modello RC» Linee di trasmissione, riflessioni»

Dettagli

Sistemi di Elettronica Digitale, Sez.3

Sistemi di Elettronica Digitale, Sez.3 Sistemi di Elettronica Digitale, Sez.3 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Lunedì 16:30-18:30 Sistemi di elettronica digitale, A. Flammini,

Dettagli

SisElnM1 08/03/ DDC 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni D. Ingegneria dell Informazione

SisElnM1 08/03/ DDC 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni D. Ingegneria dell Informazione iselnm1 8/3/27 ngegneria dell nformazione Obiettivi del gruppo di lezioni Modulo TEM ELETTRONC - CRCT TAL M1 Transistore MO come interruttore - caratteristiche dei transistori MO - modelli di MO in commutazione

Dettagli

Porte logiche. Caratteristiche delle porte logiche. Scalamento di tensione. Amplificazione di potenza. Interruttori allo stato solido

Porte logiche. Caratteristiche delle porte logiche. Scalamento di tensione. Amplificazione di potenza. Interruttori allo stato solido Interruttori allo stato solido 1 Caratteristiche delle porte logiche Scalamento di tensione Amplificazione di potenza 2 2003 Politecnico di Torino 1 Caratteristiche delle porte logiche 3 Interfacciamento

Dettagli

SISTEMI ELETTRONICI. SisElnM1 01/02/ DDC 1. Ingegneria dell Informazione. Gruppo D: moduli digitali. Modulo

SISTEMI ELETTRONICI. SisElnM1 01/02/ DDC 1. Ingegneria dell Informazione. Gruppo D: moduli digitali. Modulo iselnm1 1/2/28 ngegneria dell nformazione ruppo : moduli digitali Modulo TEM ELETTRONC - CRCT TAL M1 Transistore MO come interruttore - caratteristiche dei transistori MO - modelli di MO in commutazione

Dettagli

Stadi di Ingresso e Uscita speciali

Stadi di Ingresso e Uscita speciali Stadi di Ingresso e Uscita speciali, AA2014-2015 Modello e stadio di uscita Non è possibile connettere le uscite di due dispositivi (bassa impedenza di uscita = percorso a bassa impedenza tra e gnd) Scorre

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Parametri statici e dinamici Circuiti logici combinatori Circuiti logici sequenziali Registri, contatori e circuiti sequenziali Esempi

Dettagli

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4 Esercitazione 4 Caratterizzazione e misure su circuiti digitali 1. Introduzione Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, -

Dettagli

Gli homework da preparare prima di iniziare la parte sperimentale sono calcoli e simulazioni dei circuiti su cui vengono eseguite le misure.

Gli homework da preparare prima di iniziare la parte sperimentale sono calcoli e simulazioni dei circuiti su cui vengono eseguite le misure. Esercitazione 4 Caratterizzazione e misure su circuiti digitali 1. Introduzione Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, -

Dettagli

Vout Vo1. Vo0 Vt. Vin. D3x - Presentazione della lezione D3. D3a COMPARATORI SENZA ISTERESI

Vout Vo1. Vo0 Vt. Vin. D3x - Presentazione della lezione D3. D3a COMPARATORI SENZA ISTERESI D3x - Presentazione della lezione D3 1/1- Obiettivi»Passaggio da un segnale analogico ad uno digitale»comparatori di soglia senza isteresi»comparatori di soglia con isteresi (utilizzando AO)»Tensioni di

Dettagli

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali Porte logiche Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Una porta logica

Dettagli

SISTEMI. impostazione SISTEMI. progettazione. Saper utilizzare modelli di circuiti combinatori

SISTEMI. impostazione SISTEMI. progettazione. Saper utilizzare modelli di circuiti combinatori E1y - Presentazione del gruppo di lezioni E 1/3- Dove siamo? A SISTEMI impostazione componenti analogici C D E componenti digitali F SISTEMI progettazione E1y - Presentazione del gruppo di lezioni E 2/3-

Dettagli

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali

(HIGH) 0 (LOW) Porte logiche. Porte Logiche. L inverter. Rappresentazione dei segnali Porte logiche Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Una porta logica

Dettagli

Sistemi di Elettronica Digitale, Sez.2

Sistemi di Elettronica Digitale, Sez.2 Sistemi di Elettronica Digitale, Sez.2 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Martedì 16:30-18:30 Sistemi di elettronica digitale, A.

Dettagli

Cenni sulle famiglie logiche TTL e CMOS

Cenni sulle famiglie logiche TTL e CMOS Cenni sulle famiglie logiche TTL e CMOS Generalità I dispositivi digitali vengono suddivisi in famiglie logiche ciascuna delle quali differisce dalle altre per la tecnologia utilizzata e per il circuito

Dettagli

Politecnico di Torino - Facoltà dell Informazione Modulo Sistemi Elettronici

Politecnico di Torino - Facoltà dell Informazione Modulo Sistemi Elettronici Prova scritta del 8 Febbraio 2003 tempo: 2 ore Esercizio ) R =R2= 0kΩ R3 = 820kΩ R4 = 22kΩ R = 220kΩ R6 = 33kΩ C =C2= 00nF AO: Voff = 3mV, Ibias= 00nA (entranti) Ioff=20nA V=Asen(ωt) con A=mV V2=0.V V

Dettagli

I circuiti binari: definizione delle funzioni logiche

I circuiti binari: definizione delle funzioni logiche I circuiti binari: definizione delle funzioni logiche Prof. lberto orghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano /38 Sommario Variabili ed operatori

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 9 - B - 5: Comportamento dinamico dei circuiti logici Elettronica II - Dante Del Corso - Gruppo B - 7

Dettagli

APPUNTI PORTE LOGICHE - CLASE 3BET

APPUNTI PORTE LOGICHE - CLASE 3BET APPUNTI PORTE LOGICHE - CLASE 3BET Parametri CARATTERISTICHE STATICHE DELLE PORTE LOGICHE NOMENCLATURA: 1) Livelli logici alti Dove Ioh è detta corrente di Source 2) Livelli logici bassi Dove Iol è detta

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 17/6/2015 Appello d esame del 17/6/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti sulle domande, minimo 2 punti sui problemi (20 minuti)

Dettagli

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali.

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali. INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1 1.2) Interruttori ideali e reali. 1 1.3) Condizioni operative statiche del transistore a giunzione. 5

Dettagli

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E. Circuiti combinatori. Circuiti sequenziali.

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E. Circuiti combinatori. Circuiti sequenziali. Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LUCIDI COMPLEMENTARI SEDE DI IVREA - AA 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi

Dettagli

Tecnologie per l'elettronica digitale. Parametri Componenti elettronici Porte a diodi RTL, TTL CMOS

Tecnologie per l'elettronica digitale. Parametri Componenti elettronici Porte a diodi RTL, TTL CMOS Tecnologie per l'elettronica digitale Parametri Componenti elettronici Porte a diodi RTL, TTL CMOS Codifica digitale dell informazione Superare l effetto del rumore Non eliminabile dai circuiti analogici

Dettagli

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4 Esercitazione 4 Caratterizzazione e misure su circuiti digitali 1. Introduzione Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, -

Dettagli

Fondamenti di Elettronica, Sez.4

Fondamenti di Elettronica, Sez.4 Fondamenti di Elettronica, Sez.4 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. Ingegneria dell Informazione 030-3715627 Lunedì 16:30-18:30 Fondamenti di elettronica, A. Flammini, AA2018-2019

Dettagli

INVERTITORE RESISTOR-TRANSISTOR LOGIC (RTL)

INVERTITORE RESISTOR-TRANSISTOR LOGIC (RTL) INERTITORE RESISTOR-TRANSISTOR LOGIC (RTL) FIG. 1. Resistor-Transistor Logic (RTL) inverter. ediamo un esempio di realizzazione di un invertitore (Figura 1). Assumiamo inizialmente che il fan-out dell

Dettagli

(E4-U18) Gli homework da preparare prima di iniziare la parte sperimentale sono calcoli e simulazioni dei circuiti su cui vengono eseguite le misure.

(E4-U18) Gli homework da preparare prima di iniziare la parte sperimentale sono calcoli e simulazioni dei circuiti su cui vengono eseguite le misure. Esercitazione 6 (E4-U8) Caratterizzazione e misure su circuiti digitali Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, - Verificare

Dettagli

Esercitazione III Simulazione PSpice dell invertitore CMOS

Esercitazione III Simulazione PSpice dell invertitore CMOS Esercitazione III Simulazione PSpice dell invertitore CMOS Come è noto, nei circuiti CMOS vengono utilizzati sia dispositivi a canale N sia dispositivi a canale P. La principale differenza fra i due tipi

Dettagli

. Nota: le tensioni dono riferite all'ingresso ed all'uscita dello stesso circuito. G. Martines 1

. Nota: le tensioni dono riferite all'ingresso ed all'uscita dello stesso circuito. G. Martines 1 Invertitore logico (NOT) La caratteristica di trasferimento in tensione (VTC) Per un ingresso logico 0, cioè v I V IL l'uscita logica è 1, cioè v O V OH ; per ingresso 1 cioè v I V IH uscita 0, cioè v

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 6 - B -2: Parametri elettrici e famiglie logiche Elettronica II - Dante Del Corso - Gruppo B - 7 n. 1-01/11/97

Dettagli

Politecnico di Torino - Facoltà di ingegnera dell Informazione Sistemi Elettronici Risoluzione prova scritta del 28/04/2012

Politecnico di Torino - Facoltà di ingegnera dell Informazione Sistemi Elettronici Risoluzione prova scritta del 28/04/2012 Esercizio 1 1 47 k 5 12 k 2 22 k 6 15 k 3 100 k 7 150 k 4 47 k 8 24 k 9 100 k C1 = 390 nf; C2 = 18 nf A1 e A2: Voff = 6mV, Ioff = 200 na V1 V2 2 1 C 2 C 1 A1 5 7 4 3 9 A2 6 VU 8 a) Calcolare Vu(V1,V2)

Dettagli

Ingegneria dell Informazione SISTEMI ELETTRONICI

Ingegneria dell Informazione SISTEMI ELETTRONICI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LUCIDI COMPLEMENTARI SEDE DI IVREA - AA 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi

Dettagli

Circuito Invertitore (1)

Circuito Invertitore (1) Circuito Invertitore () Implementazione della funzione NOT in logica positiva V() = 2 Volts V(0) = 0.2 Volts VR = -2 Volts Circuito Invertitore (2) Se l ingresso vi è nello stato 0 (V=0 Volts) il transistor

Dettagli

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012 Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/2011 3 Appello 09 Febbraio 2012 Indicare chiaramente la domanda a cui si sta rispondendo. Ad esempio 1a) Esercizio 1. R 1 = 20 kω, R 2

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione Esercitazione Be: parte B - ELETTRONIC PPLICT E MISURE Dante DEL CORSO Be Esercizi parte B ()» Interfacciamento statico» Ritardi» Diagrammi temporali» Massima cadenza clock

Dettagli

Lezione 3. Architetture dei calcolatori e delle reti. Algebra di Boole circuiti logici. Sommario. ! Algebra di Boole

Lezione 3. Architetture dei calcolatori e delle reti. Algebra di Boole circuiti logici. Sommario. ! Algebra di Boole rchitetture dei calcolatori e delle reti Lezione 3 lgebra di oole circuiti logici. orghese, F. Pedersini Dip. Scienze dell Informazione Università degli Studi di Milano L 3 /26 Sommario! lgebra di oole

Dettagli

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015

ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 ELETTRONICA GENERALE, FONDAMENTI DI ELETTRONICA DIGITALE Appello d esame del 8/9/2015 Ogni risposta corretta +2 punti, ogni risposta sbagliata -0,5 punti, ogni risposta in bianco 0 punti Minimo 6 punti

Dettagli

Le porte logiche. Elettronica L Dispense del corso

Le porte logiche. Elettronica L Dispense del corso Le porte logiche Elettronica L Dispense del corso Gli Obiettivi Introdurre il concetto di funzione logica. Dare una corrispondenza tra funzioni logiche e strutture di gate elementari. Introdurre l algebra

Dettagli

3 B aut TPSEE 4 TEST FILA 1 3 apr Q1 BC Volts. VALUTAZIONE di COGNOME :. Nome :

3 B aut TPSEE 4 TEST FILA 1 3 apr Q1 BC Volts. VALUTAZIONE di COGNOME :. Nome : 3 B aut TPSEE 4 TEST FILA 1 3 apr 2013 1. Dato il seguente circuito e i valori di tensioni e correnti, determinare : a) La regione di funzionamento b) h FE, I E, V CB c) R B, R C d) cosa bisogna fare per

Dettagli

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità

Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità Il fan-out dinamico, o in alternata, o in AC, è il principale fattore limite in molti casi reali proprio perché impone una limitazione della velocità di trasferimento dati. Esempio: Si supponga che ai

Dettagli

SCHEMA DI CONNESSIONE

SCHEMA DI CONNESSIONE END POINT XIPI001 Alimentazione: 12VDC Frequenza di trasmissione dati: 2,4GHz Fino a 30mt in campo chiuso Assorbimento massimo: 20mA (senza relè collegati) Numero di canali radio: 16 Fino a 60mt in campo

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte A: Transistori in commutazione Lezione n. 3 - A - 3:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte A: Transistori in commutazione Lezione n. 3 - A - 3: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte A: Transistori in commutazione Lezione n. 3 - A - 3: Transistori MOS in commutazione Elettronica II - Dante Del Corso - Gruppo A - 8 n.

Dettagli

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4

Modulo SISTEMI ELETTRONICI ESERCITAZIONI DI LABORATORIO - 4 Esercitazione 4 Caratterizzazione e misure su circuiti digitali 1. Introduzione Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Misurare i parametri elettrici di porte logiche, -

Dettagli

Questa parte tratta le problematiche del pilotaggio low-side di carichi di potenza: Pilotaggio low-side con MOS. Pilotaggio low-side con BJT

Questa parte tratta le problematiche del pilotaggio low-side di carichi di potenza: Pilotaggio low-side con MOS. Pilotaggio low-side con BJT Interruttori allo stato solido 1 Questa parte tratta le problematiche del pilotaggio low-side di carichi di potenza: con MOS con BJT Velocità di commutazione MOS Velocità di commutazione BJT 2 2003 Politecnico

Dettagli

Page 1. SisElnE1bis 1/10/ DDC 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E

Page 1. SisElnE1bis 1/10/ DDC 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI E - LCIDI COMPLEMENTRI SEDE DI IVRE - 2002-03 E1 - Circuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi - consumo

Dettagli

Porte Logiche. Lucidi del Corso di Elettronica Digitale. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica

Porte Logiche. Lucidi del Corso di Elettronica Digitale. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Porte Logiche Lucidi del Corso di Elettronica Digitale Modulo 2 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Porte logiche Una porta logica

Dettagli

II.3.1 Inverter a componenti discreti

II.3.1 Inverter a componenti discreti Esercitazione II.3 Caratteristiche elettriche dei circuiti logici II.3.1 Inverter a componenti discreti Costruire il circuito dell invertitore in logica DTL e verificarne il funzionamento. a) Posizionando

Dettagli

Note sul dimensionamento delle porte CML ed ECL.

Note sul dimensionamento delle porte CML ed ECL. Note sul dimensionamento delle porte ML ed L. imensionamento delle porte ML. La più semplice porta logica in tecnologia bipolare non saturata, è il circuito pilotato in corrente (ML o current-mode logic),

Dettagli

Rivediamo alcuni concetti fondamentali: note sulla periferica GPIO

Rivediamo alcuni concetti fondamentali: note sulla periferica GPIO Introduzione alla progettazione di sistemi embedded a microcontrollore Rivediamo alcuni concetti fondamentali: note sulla periferica GPIO prof. Stefano Salvatori A.A. 2016/2017 Eccetto dove diversamente

Dettagli

GENERATORE DI RAMPA A GRADINI

GENERATORE DI RAMPA A GRADINI Istituto Professionale di Stato per l'industria e l'artigianato MORETTO Via Luigi Apollonio, 21 BRESCIA GENERATORE DI RAMPA A GRADINI Realizzazione CHIMINI MASSIMILIANO FONTANA MASSIMO della classe 5AI

Dettagli

SisElnE1bis 01/12/ /12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ.

SisElnE1bis 01/12/ /12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. 01/12/ SisElnE1bis DDC/MZ. ngegneria dell nformazione Obiettivi del gruppo di lezioni E Modulo SSTEM ELETTRON E - RT LOG E1 - ircuiti logici combinatori - porte logiche elementari - modelli R-SW e SW-SW - ritardi -consumo ircuiti

Dettagli

Retta di carico (1) La retta dipende solo da entità esterne al diodo. Corso Fisica dei Dispositivi Elettronici 1

Retta di carico (1) La retta dipende solo da entità esterne al diodo. Corso Fisica dei Dispositivi Elettronici 1 Retta di carico (1) La retta dipende solo da entità esterne al diodo. Corso Fisica dei Dispositivi Elettronici Leonello Servoli 1 Retta di carico (2) Dipende solo da entità esterne al transistor. Corso

Dettagli

Esercitazione 6: Convertitori A/D Delta e Sigma-Delta

Esercitazione 6: Convertitori A/D Delta e Sigma-Delta Esercitazione 6: Convertitori A/D Delta e Sigma-Delta Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Verificare il comportamento di un convertitore A/D differenziale - Determinare

Dettagli

Lettura analogica di una tensione.

Lettura analogica di una tensione. nalogico e digitale Lettura analogica di una tensione. L'informazione analogica (tensione) viene riportata sul quadrante sotto forma di un angolo proporzionale al valore della tensione Lettura digitale

Dettagli

Misure su linee di trasmissione

Misure su linee di trasmissione Appendice A A-1 A-2 APPENDICE A. Misure su linee di trasmissione 1) Misurare, in trasmissione o in riflessione, la lunghezza elettrica TL della linea. 2) Dal valore di TL e dalla lunghezza geometrica calcolare

Dettagli

{ v c 0 =A B. v c. t =B

{ v c 0 =A B. v c. t =B Circuiti RLC v c t=ae t / B con τ=rc e { v c0=ab v c t =B Diodo La corrente che attraversa un diodo quando questo è attivo è i=i s e v /nv T n ha un valore tra e. Dipende dalla struttura fisica del diodo.

Dettagli

Elettronica I Porte logiche CMOS

Elettronica I Porte logiche CMOS Elettronica I Porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/ liberali Elettronica

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 7 - B - 3: Esempi di circuiti logici

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Gruppo B: Famiglie logiche Lezione n. 7 - B - 3: Esempi di circuiti logici ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Gruppo B: Famiglie logiche Lezione n. 7 - B - 3: Esempi di circuiti logici Elettronica II - Dante Del Corso - Gruppo B - 7 n. 1-01/11/97 Metodo

Dettagli

Elettronica dei Sistemi Digitali Le porte logiche CMOS

Elettronica dei Sistemi Digitali Le porte logiche CMOS Elettronica dei Sistemi Digitali Le porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

AMPLIFICATORE DIFFERENZIALE

AMPLIFICATORE DIFFERENZIALE AMPLIFICATORE DIFFERENZIALE Per amplificatore differenziale si intende un circuito in grado di amplificare la differenza tra due segnali applicati in ingresso. Gli ingressi sono due: un primo ingresso

Dettagli

Esercitazione 8 : LINEE DI TRASMISSIONE

Esercitazione 8 : LINEE DI TRASMISSIONE Esercitazione 8 : LINEE DI TRASMISSIONE Specifiche Scopo di questa esercitazione è verificare il comportamento di spezzoni di linea in diverse condizioni di pilotaggio e di terminazione. L'esecuzione delle

Dettagli

logiche LE PORTE Nelle prime due lezioni del Corso di Elettronica Digitale (parte terza)

logiche LE PORTE Nelle prime due lezioni del Corso di Elettronica Digitale (parte terza) & imparare & approfondire di GIANLORENZO VALLE Corso di Elettronica Digitale (parte terza) LE PORTE logiche In questa puntata poniamo le prime basi per comprendere meglio il funzionamento delle porte logiche

Dettagli

CAPITOLO 6 PORTE LOGICHE TTL. 6.1 L invertitore TTL. La Figura 6.1 riporta lo schema di un invertitore TTL standard.

CAPITOLO 6 PORTE LOGICHE TTL. 6.1 L invertitore TTL. La Figura 6.1 riporta lo schema di un invertitore TTL standard. CAPITOLO 6 PORTE LOGICHE TTL 6.1 L invertitore TTL La Figura 6.1 riporta lo schema di un invertitore TTL standard. In linea di principio, questo schema circuitale può essere diviso in tre parti: lo stadio

Dettagli

Il campo di cattura deve coprire le possibili frequenze di portante, quindi da 50 a 55 MHz.

Il campo di cattura deve coprire le possibili frequenze di portante, quindi da 50 a 55 MHz. Prova scritta del 10/07/01 ver A tempo: 2 ore Esercizio 1) Un segnale modulato in ampiezza è formato da una portante con frequenza compresa tra 50 e 55 MHz, con segnale modulante che occupa la banda tra

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

Sistemi di Elettronica Digitale, Sez.1

Sistemi di Elettronica Digitale, Sez.1 Sistemi di Elettronica Digitale, Sez.1 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. gegneria dell formazione 030-3715627 Lunedì 16:30-18:30 Sistemi di elettronica digitale, A. Flammini,

Dettagli

Sistemi di Elettronica Digitale, Sez.1

Sistemi di Elettronica Digitale, Sez.1 Sistemi di Elettronica Digitale, Sez.1 Alessandra Flammini alessandra.flammini@unibs.it Ufficio 24 Dip. gegneria dell formazione 030-3715627 Martedì 16:30-18:30 Fondamenti di elettronica digitale, A. Flammini,

Dettagli

Politecnico di Torino - Facoltà di ingegnera dell Informazione Sistemi Elettronici Risoluzione prova scritta del 14/07/2011 R 2 R 5

Politecnico di Torino - Facoltà di ingegnera dell Informazione Sistemi Elettronici Risoluzione prova scritta del 14/07/2011 R 2 R 5 Prova scritta 14 Luglio 2011 Testo 1 Esercizio 2 R1 39 k R5 90 k R2 25 k R6 10 k R3 100 k R7 100 k R4 39 k R8 24 k R9 100 k R10 12 k C1 = 12 nf; C2 = 22 nf A1: Voff = 8mV, Ioff = 300 na V1 V2 C 1 C A1

Dettagli

TX-AUDIO-2.4/AE TX-AUDIO-2.4/AE. Caratteristiche. Caratteristiche Tecniche

TX-AUDIO-2.4/AE TX-AUDIO-2.4/AE. Caratteristiche. Caratteristiche Tecniche Caratteristiche Nessuna compressione, alta qualità sonora con latenza di 0.5 ms. Audio digitale con frequenza di campionamento a 44.1 KHz e 16-bit di risoluzione. Modulazione digitale FSK. Antenna Wip

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO B8 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 22/10/2013-1 ElapB8-2013 DDC Page 1 2013 DDC 1 Come

Dettagli

Isolamento galvanico

Isolamento galvanico Interruttori allo stato solido 1 Introduzione L isolamento galvanico è spesso un requisito imposto da normative per la sicurezza elettrica (es. alimentatore da rete) In una macchina elettrica si isola

Dettagli

Appendice A. A.1 Amplificatore con transistor bjt

Appendice A. A.1 Amplificatore con transistor bjt Appendice A A.1 Amplificatore con transistor bjt Il circuito in fig. A.1 è un esempio di amplificatore a più stadi. Si utilizza una coppia differenziale di ingresso (T 1, T 2 ) con un circuito current

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO Be2 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 AA 2014-15 23/09/2014-1 ElapBe2-2014 DDC Page 1

Dettagli

Informatica Industriale - A - 8

Informatica Industriale - A - 8 Informatica Industriale - A - 8 - L. Mezzalira Informatica Industriale - A - 8 prof. Lorenzo MEZZALIRA Interfacciamento con segnali analogici - Cap. 8 CATENA DI MISURA TECNICHE DI CONVERSIONE A / D ELABORAZIONI

Dettagli

DATASHEET Enhanced Multi Motor DRV Controller - uevo Line. uevoline

DATASHEET Enhanced Multi Motor DRV Controller - uevo Line. uevoline uevoline Enhanced Multi Motor DRV Controller INTERFACCIA PER AZIONAMENTI MODULARI - SCHEDA DI ESPANSIONE Caratteristiche Ingressi digitali optoisolati, compatibili TTL 5Vdc (opz: 24 Vdc). Regolatore switching

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione Come utilizzare gli esercizi ELETTRONICA APPLICATA E MISURE Dante DEL CORSO Be2 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 AA 2015-16 Esercizi

Dettagli

RELE 2. Tipi di contatto 6. Parametri di un relè 7. Tensione di alimentazione 7. Resistenza di avvolgimento 7. Configurazione dei contatti 7

RELE 2. Tipi di contatto 6. Parametri di un relè 7. Tensione di alimentazione 7. Resistenza di avvolgimento 7. Configurazione dei contatti 7 RELE Tipi di contatto 6 Parametri di un relè 7 Tensione di alimentazione 7 Resistenza di avvolgimento 7 Configurazione dei contatti 7 Portata in corrente e in tensione dei contatti 7 Resistenza iniziale

Dettagli

Terza esercitazione - Circuito che emula una catena di acquisizione del segnale. Vout. Sistema di conversione (10kHz; 0 +5V)

Terza esercitazione - Circuito che emula una catena di acquisizione del segnale. Vout. Sistema di conversione (10kHz; 0 +5V) Terza esercitazione - Circuito che emula una catena di acquisizione del segnale Progettare un sistema che acquisisce un segnale analogico 10Hz 10Vpp e lo converte in un segnale digitale codificato su due

Dettagli

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Valentino Liberali Dipartimento di Fisica Università degli Studi di Milano valentino.liberali@unimi.it Elettronica

Dettagli

SCHEDA PRODOTTO IO2-88C-DR0-C (centralizzato).

SCHEDA PRODOTTO IO2-88C-DR0-C (centralizzato). SCHEDA PRODOTTO IO288CDR0C (centralizzato). IO288CDR0C Descrizione Dispositivo per rilevazione dello stato di 8 ingressi digitali (contatti puliti, privi di potenza) e l attuazione di 8 uscite di potenza

Dettagli

MANUALE D USO 2AMDI515TPS

MANUALE D USO 2AMDI515TPS MANUALE D USO 2AMDI515TPS INDICE ARGOMENTI: DESCRIZIONE SPECIFICHE TECNICHE ALIMENTAZIONE DEL DISPOSITIVO E CONNESSIONE AL CARICO FUNZIONAMENTO PUSH TARATURA LIVELLO MINIMO DI LUMINOSITÀ pag 1 DIMMER MONOCANALE

Dettagli

I.P.S.I.A. Di BOCCHIGLIERO. ----Misure sui converitori A/D---- Materia: Elettronica, Telecomunicazioni ed applicazioni. prof. Ing.

I.P.S.I.A. Di BOCCHIGLIERO. ----Misure sui converitori A/D---- Materia: Elettronica, Telecomunicazioni ed applicazioni. prof. Ing. I.P.S.I.A. Di BOCCHIGLIERO a.s. 2011/2012 -classe IV- Materia: Elettronica, Telecomunicazioni ed applicazioni ----Misure sui converitori A/D---- Alunna: Lautieri Mariacaterina prof. Ing. Zumpano Luigi

Dettagli

Comprendere il funzionamento dei convertitori Saper effettuare misure di collaudo

Comprendere il funzionamento dei convertitori Saper effettuare misure di collaudo SCH 35 Convertitore A/D Obiettivi Comprendere il funzionamento dei convertitori Saper effettuare misure di collaudo Strumenti e componenti IC1 LM 35 IC2 LM 158 IC3 ADC 0804 IC4 74LS244 R 1 = 75 Ω R 2 =

Dettagli

Laboratorio di Elettronica Dispositivi elettronici e circuiti Linee di trasmissione. Misure su linee di trasmissione. Amplificatore operazionale e reazione. Applicazioni dell'amplificatore operazionale.

Dettagli

Regolatori di tensione dissipativi. Regolatori LDO. Schema elettrico. Stabilità LDO Politecnico di Torino 1

Regolatori di tensione dissipativi. Regolatori LDO. Schema elettrico. Stabilità LDO Politecnico di Torino 1 Regolatori di tensione dissipativi 1 Schema elettrico Stabilità LDO 2 2003 Politecnico di Torino 1 Schema elettrico 3 Efficienza La tensione di headroom crea dei problemi: Alta potenza dissipata (necessita

Dettagli

Interconnessioni e Linee di Trasmissione

Interconnessioni e Linee di Trasmissione Queste istruzioni sono scaricabili dal Portale (pagina dell insegnamento, sezione Materiale ), o da http://areeweb.polito.it/didattica/corsiddc/03moa. La versione più aggiornata è normalmente quella su

Dettagli

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A:

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A: ESPERIMENTZIONI DI FISIC 3 Traccia delle lezioni di Elettronica digitale M. De Vincenzi.: 22-23 Contenuto. Sistemi elettrici a 2 livelli 2. lgebra di oole Definizione Sistemi funzionali completi Leggi

Dettagli