Memorie a semiconduttore

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Memorie a semiconduttore"

Transcript

1 Memorie a semiconduttore Lucidi del Corso di Elettronica Digitale Modulo 11 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

2 Memorie: classificazione Le memorie si dividono in 3 grandi categorie: RWM (read/write memory): memorie leggibili e scrivibili). Sono comunemente chiamate RAM (che significa però Random Access Memory, memorie ad accesso casuale). Perdono l informazione quando non sono alimentate. Es.: SRAM, DRAM ROM (read-only memory): memorie a sola lettura. Sono scritte una volta per tutte e possono essere solo lette. Mantengono l informazione anche senon alimentate NVRWM (Nonvolatile, olatile read/writerite memory): memorie di lettura e scrittura non volatili (mantengono il dato anche se si spegne l alimentazione) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 2

3 Dimensioni di memorie La dimensione globale di una memoria viene generalmente misurata in byte (Giga, Mega, Kilo) ossia in termini di gruppi di 8 bit (1byte=8bit). Al loro interno le memorie sono organizzate in word (parole) ossia gruppi di M bit (con M tipicamente 32 nei sistemi attuali) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 3

4 Memorie: architettura Una memoria di N parole da M bit è organizzata, concettualmente in questo modo A[0] A[1] A[K-1] Dec coder S[0] S[N-1] Word 0 Word 1. Word N-1 M bit Elemento di memoria (singolo bit) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 4

5 Memorie: architettura Una memoria di N word necessita di K=log 2 N bit di indirizzo per la selezione della parola desiderata I bit di indirizzo i devono essere decodificatidifi da un decoder per generare il segnale di selezione che attiva una fra le N word Gli M bit della word selezionata devono poi andare sul bus di uscita (in un operazione di lettura), oppure dal bus provengono gli M bit da scrivere sulla word selezionata 03 Dicembre 2009 ED - Memorie Massimo Barbaro 5

6 Memorie: architettura Se il numero N di word diventa molto grande rispetto al numero M di bit questa architettura non è evidentemente più ragionevole perché comporterebbe un organizzazione dello spazio irrazionale (un blocco stretto ed altissimo) e soprattutto porterebbe a delle capacità parassite enormi (lepisteverticali cheattraversano tutte le word per portare in uscita o in ingresso i bit) La soluzione preferibile è quella quadrata: si dividono i bit di indirizzo in 2 gruppi e si usa il primo per selezionare una riga ed il secondo gruppo per selezionare le colonne 03 Dicembre 2009 ED - Memorie Massimo Barbaro 6

7 Memorie: architettura A[L] A[K-1] Row De ecoder Sense Amplifiers A[0] A[L-1] Column Decoder 03 Dicembre 2009 ED - Memorie Massimo Barbaro 7

8 Memorie: architettura L architettura preferita per memoria di grandi dimensioni è quella ad array Dei K bit di indirizzo i primi L (i meno significativi) selezionano le colonne e gli ultimi K-L (più significativi) selezionano le righe Il decoder di riga seleziona una delle possibili 2 K-L righe in base ai K-L bit più significativi dell indirizzo La riga selezionata contiene 2 L word ed il decoder di colonna si incarica di selezionare e portare in uscita una fra queste word in base agli L bit meno significativi dell indirizzo Prima di portare in uscita il dato questo viene amplificato dai sense-amplifier posti al termine di ogni colonna 03 Dicembre 2009 ED - Memorie Massimo Barbaro 8

9 Memorie: architettura Per memorie ancora più grandi (più di 256 KB) anche dopo la suddivisione le capacità parassite delle piste molto lunghe che collegano un elemento di memoria nella prima riga al sense amplifier di colonna sarebbero eccessive Le memorie vengono ancora suddivisi i i in P blocchi (log 2 P bit di indirizzo) ciascuno dei quali è organizzato come un array righe/colonne come il precedente 03 Dicembre 2009 ED - Memorie Massimo Barbaro 9

10 Memorie: architettura Indirizzo di riga Indirizzo di colonna Indirizzo di blocco Bus 03 Dicembre 2009 ED - Memorie Massimo Barbaro 10

11 Componenti di una memoria Ognuno dei 3 tipi di memorie considerate (RAM, ROM, NVRWM) ha un architettura come quella vista composta da Il core: l array larray di elementi di memoria, l implementazione del core cambia a seconda del tipo di memoria considerata La periferia: i circuiti di interfaccia (decoder, senseamplifier, driver dei bus) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 11

12 ROM: elemento di memoria L elemento di memoria di una cella ROM può essere implementato in vari modi (fusibile, diodo). In tecnologia CMOS l elemento di memoria è costuito dalla presenza o assenza di un transistor Per questo motivo le ROM non possono essere scritte: le parole memorizzate sono definite una volta per tuttatt in fase di realizzazione i fisicai del dispositivo (layout) inserendo o non inserendo un MOS in una cella. 03 Dicembre 2009 ED - Memorie Massimo Barbaro 12

13 ROM: elemento di memoria Pull-up WL[0] WL[1] Riga 1 WL[2] WL[3] BL[0] BL[1] BL[2] BL[3] 03 Dicembre 2009 ED - Memorie Massimo Barbaro 13

14 ROM: elemento di memoria Quando si seleziona la riga i-esima si porta alto il valore di WL[i] e si mette 0 su WL[j] con j i A questo punto i vari bit della i-esima riga vengono portati ti in uscita tramite la NOR pseudo-nmos costituita dal PMOS di pull-up (sempre acceso) e dagli NMOS che possono essere presenti o meno nella singola cella di memoria del bit k-esimo. Se in posizione i k della riga i c è un MOS questo cortocircuita BL[i] a 0, se il MOS non c è il pull-up porta BL[i] a 1 03 Dicembre 2009 ED - Memorie Massimo Barbaro 14

15 ROM: elemento di memoria Nel caso della ROM di esempio del lucido 13 le parola memorizzate sono: W[0] = 1011 W[1] = 0110 W[2] = 1010 W[3] = 1111 Nel caso reale, per semplicità, non si modificano le maschere delle diffusioni (mettendo o meno il transistor) ma le metallizzazioni. Si realizzano cioè tutti i MOS ma si collegano (col metal) solo quelli in corrispondenza di bit di memoria pari a 0 e gli altri rimangono flottanti 03 Dicembre 2009 ED - Memorie Massimo Barbaro 15

16 NVRW: elemento di memoria L organizzazione di una memoria non volatile di lettura e scrittura (comunemente detta E 2 PROM, ossia Electrically Erasable/Programmable Read Only Memory) è molto simile a quella di una ROM La differenza consiste nella possibilità di realizzare la connessione del MOS, o cancellarla, l agendo solo su segnali elettrici i applicati alla cella stessa e NON in fase di realizzazione i fisicai 03 Dicembre 2009 ED - Memorie Massimo Barbaro 16

17 Floating gate transistor Il transistor di una ROM normale viene sostituito da un transistor a gate flottante, ossia un transistor particolare con doppio gate la cui tensione di soglia può essere variata applicando opportuni segnali elettrici al MOS In questo modo si può programmare un 1 nella ROM alzando notevolmente la tensione di soglia del MOS, quindi in pratica eliminandolo. i l Si può programmare uno 0 abbassando la tensione di soglia del MOS erendendola d confrontabile con quella di un MOS normale 03 Dicembre 2009 ED - Memorie Massimo Barbaro 17

18 Floating gate transistor Gate flottante Gate di controllo Source Drain n + n + Il MOS a gate flottante ha 2 gate: uno di controllo ed uno flottante, immerso nell ossido e senza contatti elettrici con l esterno lesterno 03 Dicembre 2009 ED - Memorie Massimo Barbaro 18

19 Floating gate transistor Applicando delle elevate tensioni drain-source (oltre i 10V), gli elettroni che vengono enormemente accelerati possono attraversare l ossido e rimanere intrappolati nel gate flottante A questo punto le cariche negative degli elettroni tendono ad attrarre lacune sotto il gate, quindi diventa più difficile creare il canale (la tensione soglia aumenta) Per riportare la tensione di soglia a livelli normali bisogna eliminare gli elettroni intrappolati nel gate flottante per effetto tunnel (applicando tensioni molto alte al source, per avere una tensione gate-source negativa) In questo modo se il gate flottante è carico la tensione di soglia è alta ed il mos non può essere acceso (memorizzato 1), se invece il gate è scarico il mos si può accendere (memorizzato 0) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 19

20 Programmazione e cancellazione Gate flottante Gate di controllo Cancellazione - Source n + - n + Programmazione Drain 03 Dicembre 2009 ED - Memorie Massimo Barbaro 20

21 RAM statiche e dinamiche L elemento di memoria di una memoria RAM (o RWM) cambia a seconda del tipo di implementazione SRAM: static ti RAM, la memorizzazione i avviene in modo statico, con un elemento bistabile con opportuno circuito it di scrittura (mantiene il dato indefinitamente finché alimentata) DRAM: dynamic RAM, la memorizzazione è dinamica per mezzo di cariche intrappolate su una capacità (richiede refresh perché soggetta al leakage della carica) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 21

22 SRAM: elemento di memoria WL Q Q BL BL 03 Dicembre 2009 ED - Memorie Massimo Barbaro 22

23 SRAM: elemento di memoria L elemento di memoria è un bistabile (due inverter connessi ad anello) Quando si vuole leggere il bit memorizzato si porta WL a 1 avendo così su BL il dato Q esu BL il dato Q Quando si vuole scrivere un valore D bisogna mettere BL=D e BL =D e poi portare alto WL per forzare lo stato del bistabile I dimensionamenti dei transistor sono critici per garantire il corretto funzionamento delle fasi di lettura e scrittura 03 Dicembre 2009 ED - Memorie Massimo Barbaro 23

24 DRAM: elemento di memoria E possibile avere implementazioni di memoria molto più compatte utilizzando un approccio dinamico Il dato è memorizzato su capacità quindi tende ad essere distrutto dalle correnti di leakage. E necessario unrefresh Le due principali versioni dell elemento di memoria sono a 3 transistor (cella 3T) e ad 1 transistor (cella 1T) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 24

25 DRAM: cella 3T WL X B1 B2 03 Dicembre 2009 ED - Memorie Massimo Barbaro 25

26 DRAM: elemento di memoria L elemento di memoria è una capacità Per leggere il dato si porta WL a 1 ed il dato compare in uscita su BL2 (negato) Per scrivere il dato D si porta BL1=D e poi si alza WL, in tal modo D viene memorizzato sul nodo X (tramite la capacità) In realtà, per rendere l operazione di lettura e scrittura più veloci non si richiede una completa escursione delle tensionifra0evddmasimisuranosolodella V Per leggere correttamente, ovviamente, sulla linea BL2 deve esserci un opportuno pull-up (uno solo per tuttett le celle che si affacciano sulla stessa colonna) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 26

27 DRAM: cella 1T X WL L elemento ee e di memoria è una sola capacità col transistor di accesso. La linea di lettura e scrittura coincidono. La lettura è distruttiva (se accedo al nodo X BL distruggo la carica immagazzinata per redistribuzione di carica e la devo poi ripristinare) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 27

28 Memorie: circuiti di periferia Tutte le memorie viste richiedono un certo numero di decoder per selezionare la parola di memoria desiderata Il progetto dei decoderd è critico perché il numero di segnali è molto elevato Soprattutto le DRAM con cella 1T ma anche le altre RAM (per problemi di velocità di risposta) richiedono la presenza di un amplificatore (sense-amplifier) che riporti le variazioni di potenziale misurate sulle bitline a valori digitali (VDD e 0) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 28

29 Memorie Modellazione verilog Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

30 Modello verilog Il modello verilog di una memoria si basa sullo stesso meccanismo utilizzato per gli altri elementi sequenziali: le informazioni immagazzinate in una variabile di tipo REG rimangono memorizzate fino a che non vengono riassegnate esplicitamente L unica particolarità di una memoria è che bisogna memorizzare un array (matrice) di elementi (ciascuna word) anzi che semplicemente dei bit, questo comporta l introduzione di un nuovo elemento di sintassi verilog (il concetto di array) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 30

31 Memorie: uso degli array Numero di bit (word size) module mem( );.. reg [7:0] word_ array y[ [0:255];. endmodule Un array è una matrice di elementi definito da un indice. In questo esempio la variabile word_array è un insieme di 256 parole di memoria (l indicevariada0a 255) ciascuna delle quali contiene 8 bit. Numero di word (memory size) 03 Dicembre 2009 ED - Memorie Massimo Barbaro 31

32 Memorie: uso degli array In un oggetto definito module mem( ); come array non è.. possibile* selezionare un sottoinsieme di bit reg [7:0] word_array [0:255] ; (come si fa con un. vettore) ma ci si Dout = word_array[17]; riferisce sempre a tuttitti i. bit di una particolare word. In questo esempio, la riga endmodule evidenziata accede a tutti gli 8 bit della word di posizione 17 fra le 256 possibili. * In realtà, il nuovo standard verilog (2001) ha introdotto l uso del doppio indice ed è quindi possibile selezione un singolo bit di una singola word, attraverso la sintassi word_array[5][17], dove il primo indice rappresenta il bit (bit 5) ed il secondo la word (word 17). 03 Dicembre 2009 ED - Memorie Massimo Barbaro 32

33 Esempio: RAM 2 porte module mem(addr, rnw, din, dout); input rnw; // rnw: read-not-write (1 legge, 0 scrive) input [7:0] addr; // Indirizzo: 8 bit -> 256 word input [31:0] din; // Porta di scrittura output [31:0] dout; // Porta di lettura reg [31:0] dout; reg [31:0] word_array [0:255]; or din or rnw) begin dout = word_ array[addr]; // Lettura if(~rnw) word_array[addr] = din; // Scrittura end endmodule 03 Dicembre 2009 ED - Memorie Massimo Barbaro 33

34 Esempio: RAM 1 porta module mem(addr, rnw, dbus); input rnw; // rnw: read-not-write (1 legge, 0 scrive) input [7:0] addr; // Indirizzo: 8 bit -> 256 word inout [31:0] dbus; // Porta di lettura/scrittura wire [31:0] dbus; reg [31:0] word_array [0:255]; assign dbus = (rnw)? word_array[addr] : 32 bz; // Lettura (rnw=1) o scrittura (rnw=0) or dbus or rnw) if(~rnw) word_array[addr] = dbus; // Scrittura (l assign precedente ha messo // dbus in alta impedenza quindi posso // leggere il dato proveniente dal bus e // memorizzarlo). endmodule 03 Dicembre 2009 ED - Memorie Massimo Barbaro 34

35 Esempio: memoria parametrica module mem(addr, rnw, dbus); parameter WORD_SIZE=32, MEM_SIZE=256, ADDR_SIZE=8; input rnw; // rnw: read-not-write (1 legge, 0 scrive) input [ADDR_SIZE-1:0] addr; // Indirizzo inout [WORD_SIZE-1:0] dbus; // Porta di lettura/scrittura wire [WORD_SIZE-1:0] dbus; reg [WORD_SIZE-1:0] word_array [0:MEM_SIZE-1]; assign dbus = (rnw)? word_array[addr] : {WORD_SIZE{1 bz}}; // Lettura (rnw=1) or dbus or rnw) if(~rnw) word_array[addr] = dbus; // Scrittura (l assign precedente ha messo // dbus in alta impedenza quindi posso // leggere il dato proveniente dal bus e // memorizzarlo). endmodule 03 Dicembre 2009 ED - Memorie Massimo Barbaro 35

36 Memorie: modello verilog Ovviamente i due esempi proposti sono solo due possibili modelli di una memoria. Ai segnali di controllo utilizzati se ne possono aggiungere altri (ad esempio un segnale di abilitazione, un segnale di clock per letture sincrone e così via). Ogni modello verilog avrà però al suo interno un array definito da due indici che rappresenta il core di memorizzazione i vero e proprio 03 Dicembre 2009 ED - Memorie Massimo Barbaro 36

Memorie a semiconduttore

Memorie a semiconduttore Memorie a semiconduttore Lucidi del Corso di Circuiti Integrati Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Memorie: classificazione Le

Dettagli

Memorie: classificazione. Memorie a semiconduttore. Dimensioni di memorie. Memorie: architettura. Le memorie si dividono in 3 grandi categorie:

Memorie: classificazione. Memorie a semiconduttore. Dimensioni di memorie. Memorie: architettura. Le memorie si dividono in 3 grandi categorie: Memorie: classificazione Memorie a semiconduttore Lucidi del Corso di Elettronica Digitale Modulo 12 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica

Dettagli

MEMORIE AD ACCESSO CASUALE

MEMORIE AD ACCESSO CASUALE MEMORIE Le memorie sono circuiti in grado di contenere un elevato numero di informazioni binarie in maniera organizzata e fornirle in uscita mediante una operazione detta LETTURA della memoria. A seconda

Dettagli

Università degli Studi di Cassino e del Lazio Meridionale

Università degli Studi di Cassino e del Lazio Meridionale di Cassino e del Lazio Meridionale Corso di Tecnologie per le Memorie Anno Accademico Francesco Tortorella Gerarchia di memoria: vista complessiva Gerarchia di memoria: tecnologie Accesso casuale (random):

Dettagli

Memorie elettroniche. 1. Parametri delle memorie

Memorie elettroniche. 1. Parametri delle memorie 62 Fig. 1. Struttura di memoria. Memorie elettroniche Le memorie elettroniche sono dispositivi che immagazzinano informazioni sotto forma di codici binari. I dati memorizzati possono essere scritti (write)

Dettagli

Esame di INFORMATICA Lezione 4

Esame di INFORMATICA Lezione 4 Università di L Aquila Facoltà di Biotecnologie Esame di INFORMATICA Lezione 4 MACCHINA DI VON NEUMANN Il sottosistema di memorizzazione (memoria) contiene dati + istruzioni, inseriti inizialmente tramite

Dettagli

Memorie Flash. Architettura Lettura Programmazione Cancellazione

Memorie Flash. Architettura Lettura Programmazione Cancellazione Memorie Flash Architettura Lettura Programmazione Cancellazione Memorie Flash Caratteristiche delle memorie NV: di norma possono essere soltanto lette; in alcuni casi possono essere anche scritte, ma l

Dettagli

Il Sottosistema di Memoria

Il Sottosistema di Memoria Il Sottosistema di Memoria Classificazione delle memorie Funzionalità Memoria di sola lettura (ROM) Memoria di lettura/scrittura Tecnologia Memoria a semiconduttori Memoria magnetica Memoria ottica Modalità

Dettagli

Elementi di base del calcolatore

Elementi di base del calcolatore Elementi di base del calcolatore Registri: dispositivi elettronici capaci di memorizzare insiemi di bit (8, 16, 32, 64, ) Clock: segnale di sincronizzazione per tutto il sistema si misura in cicli/secondo

Dettagli

Struttura di un elaboratore

Struttura di un elaboratore Testo di rif.to: [Congiu] -.1,.2 (pg. 80 9) Struttura di un elaboratore 01.b Blocchi funzionali La memoria centrale Suddivisione in blocchi funzionali 1 I blocchi funzionali di un elaboratore Organizzazione

Dettagli

Modulo: Elementi di Informatica

Modulo: Elementi di Informatica ARCHITETTURA DI VON NEUMANN Facoltà di Medicina Veterinaria Corso di laurea in Tutela e benessere animale Corso Integrato: Fisica medica e statistica Modulo: Elementi di Informatica A.A. 2009/10 Lezione

Dettagli

Corso di Informatica

Corso di Informatica Corso di Informatica Modulo T2 3-La memoria 1 Prerequisiti Concetto di memoria Dati e istruzioni Bit e byte 2 1 Introduzione In questa Unità studiamo più in dettaglio la memoria del computer e le sue funzioni.

Dettagli

Corso di Calcolatori Elettronici I A.A Le memorie Lezione 16

Corso di Calcolatori Elettronici I A.A Le memorie Lezione 16 Corso di Calcolatori Elettronici I A.A. 2010-2011 Le memorie Lezione 16 Università degli Studi di Napoli Federico II Facoltà di Ingegneria Definizione di memoria Sistema organizzato con un insieme di registri

Dettagli

Porte logiche in tecnologia CMOS

Porte logiche in tecnologia CMOS Porte logiche in tecnologia CMOS Transistore MOS = sovrapposizione di strati di materiale con proprietà elettriche diverse tra loro (conduttore, isolante, semiconduttore) organizzati in strutture particolari.

Dettagli

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p.

Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning p. Tecniche di Progettazione Digitale Logiche programmabili; standard cells; generazione automatica del layout: algoritmi di partitioning Valentino Liberali Dipartimento di Tecnologie dell Informazione Università

Dettagli

Il Sottosistema di Memoria

Il Sottosistema di Memoria Il Sottosistema di Memoria Calcolatori Elettronici 1 Memoria RAM RAM: Random Access Memory Tempi di accesso indipendenti dalla posizione Statica o Dinamica Valutata in termini di Dimensione (di solito

Dettagli

La memoria: tecnologie di memorizzazione

La memoria: tecnologie di memorizzazione Architettura degli Elaboratori e delle Reti La memoria: tecnologie di memorizzazione Proff. A. Borghese, F. Pedersini Dipartimento di Informatica Università degli Studi di Milano 1 Organizzazione della

Dettagli

La memoria - tecnologie

La memoria - tecnologie Architettura degli Elaboratori e delle Reti Lezione 26 La memoria - tecnologie Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 26 1/24 Indirizzi

Dettagli

Progettazione Analogica e Blocchi Base

Progettazione Analogica e Blocchi Base Progettazione Analogica e Blocchi Base Lucidi del Corso di Circuiti Integrati Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Blocchi base

Dettagli

Circuiti di Indirizzamento della Memoria

Circuiti di Indirizzamento della Memoria Circuiti di Indirizzamento della Memoria Maurizio Palesi Maurizio Palesi 1 Memoria RAM RAM: Random Access Memory Tempi di accesso indipendenti dalla posizione Statica o Dinamica Valutata in termini di

Dettagli

La memoria: tecnologie di memorizzazione

La memoria: tecnologie di memorizzazione Architettura degli Elaboratori e delle Reti La memoria: tecnologie di memorizzazione Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano 1 Organizzazione

Dettagli

Unità di misura delle capacità delle memorie

Unità di misura delle capacità delle memorie MMOR ntroduzione Le memorie sono dispositivi che consentono di immagazzinare e conservare nel tempo informazioni espresse in forma binaria Trovano applicazione nei sistemi digitali in logica cablata e

Dettagli

Mercato delle memorie non-volatili

Mercato delle memorie non-volatili Memory TREE Mercato delle memorie non-volatili Organizzazione della memoria Row Address 1 2 M Row D e c o d e r M 2 rows 1 Bitline One Storage ell ell Array Wordline Row Decoder 2 M 1 2 N Sense Amplifiers

Dettagli

Il Sottosistema di Memoria

Il Sottosistema di Memoria Il Sottosistema di Memoria Maurizio Palesi Maurizio Palesi 1 Memoria RAM RAM: Random Access Memory Tempi di accesso indipendenti dalla posizione Statica o Dinamica Valutata in termini di Dimensione (di

Dettagli

Cella di memoria SRAM a 6T

Cella di memoria SRAM a 6T - memorie volatili - in base al meccanismo di scrittura RAM statiche (SRAM) o dinamiche (DRAM) - scrittura del dato tramite reazione positiva o carica su di una capacità - configurazioni tipo a 6 MOS/cella

Dettagli

Memory TREE. Luigi Zeni DII-SUN Fondamenti di Elettronica Digitale

Memory TREE. Luigi Zeni DII-SUN Fondamenti di Elettronica Digitale Memory TREE Mercato delle memorie non-volatili Organizzazione della memoria Row Address 1 2 M Row D e c o d e r M 2 rows 1 Bitline One Storage Cell Cell Array Wordline Row Decoder 2 M 1 2 N Sense Amplifiers

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

Logica cablata (wired logic)

Logica cablata (wired logic) Logica cablata (wired logic) Cosa succede quando si collegano in parallelo le uscite di più porte appartenenti alla stessa famiglia logica? Si realizza una ulteriore funzione logica tra le uscite Le porte

Dettagli

La memoria - tecnologie

La memoria - tecnologie Architettura degli Elaboratori e delle Reti Lezione 26 La memoria - tecnologie Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 26 1/25 Struttura

Dettagli

Elettronica I Porte logiche CMOS

Elettronica I Porte logiche CMOS Elettronica I Porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/ liberali Elettronica

Dettagli

Logica Sequenziale. Lucidi del Corso di Elettronica Digitale. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica

Logica Sequenziale. Lucidi del Corso di Elettronica Digitale. Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Logica Sequenziale Lucidi del Corso di Elettronica Digitale Modulo 9 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Logica sequenziale Un

Dettagli

L organizzazione interna della memoria e del banco di registri prevedono generalmente che le uscite di 2 o più componenti

L organizzazione interna della memoria e del banco di registri prevedono generalmente che le uscite di 2 o più componenti Banco di registri e memoria Corso ACSO prof. Cristina SILVANO Politecnico di Milano Componenti di memoria e circuiti di pilotaggio L organizzazione interna della memoria e del banco di registri prevedono

Dettagli

static dynamic random access memory

static dynamic random access memory LA MEMORIA SRAM e D R A M static dynamic random access memory SRAM: unità che memorizza un gran numero di parole in un insieme di flip-flop, opportunamente connessi, mediante un sistema di indirizzamento

Dettagli

Dispositivi Logici Programmabili

Dispositivi Logici Programmabili Dispositivi Logici Programmabili Introduzione ROM (Read Only Memory) PLA (Programmable Logic Array) PAL (Programmable Array Logic) PLA e PAL avanzate Logiche programmabili Sono dispositivi hardware che

Dettagli

Sottosistemi ed Architetture Memorie

Sottosistemi ed Architetture Memorie Sottosistemi ed Architetture Memorie CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II La memoria centrale Memoria centrale: array di

Dettagli

La memoria-gerarchia. Laboratorio di Informatica - Lezione 3 - parte I La memoria - La rappresentazione delle informazioni

La memoria-gerarchia. Laboratorio di Informatica - Lezione 3 - parte I La memoria - La rappresentazione delle informazioni La memoriaparametri di caratterizzazione Un dato dispositivo di memoria è caratterizzato da : velocità di accesso, misurata in base al tempo impiegato dal processore per accedere ad uno specificato indirizzo

Dettagli

Esame di INFORMATICA

Esame di INFORMATICA Università di L Aquila Facoltà di Biotecnologie Esame di INFORMATICA Lezione 4 MACCHINA DI VON NEUMANN Anni 40 i dati e i programmi che descrivono come elaborare i dati possono essere codificati nello

Dettagli

I.P.S.I.A. Di BOCCHIGLIERO. ----Memorie a semiconduttore---- Materia: Elettronica, Telecomunicazioni ed applicazioni. prof. Ing.

I.P.S.I.A. Di BOCCHIGLIERO. ----Memorie a semiconduttore---- Materia: Elettronica, Telecomunicazioni ed applicazioni. prof. Ing. I.P.S.I.A. Di BOCCHIGLIERO a.s. 2011/2012 -classe IV- Materia: Elettronica, Telecomunicazioni ed applicazioni ----Memorie a semiconduttore---- Aunni: Santoro Arturo-Turco Raffaele prof. Ing. Zumpano Luigi

Dettagli

Logica sequenziale. Logica Sequenziale. Macchine a stati e registri. Macchine a stati

Logica sequenziale. Logica Sequenziale. Macchine a stati e registri. Macchine a stati Logica sequenziale Logica equenziale Lucidi del Corso di Elettronica igitale Modulo Università di Cagliari ipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Un blocco

Dettagli

Convertitori Digitale-Analogico

Convertitori Digitale-Analogico Convertitori Digitale-Analogico Lucidi del Corso di Microelettronica Parte 7 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Convertitori D/A

Dettagli

Elettronica dei Sistemi Digitali Le porte logiche CMOS

Elettronica dei Sistemi Digitali Le porte logiche CMOS Elettronica dei Sistemi Digitali Le porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/

Dettagli

Architettura dei computer

Architettura dei computer Architettura dei computer In un computer possiamo distinguere quattro unità funzionali: il processore la memoria principale (memoria centrale, RAM) la memoria secondaria i dispositivi di input/output La

Dettagli

Simulazione. Simulazione verilog. Testbench. Testbench

Simulazione. Simulazione verilog. Testbench. Testbench Simulazione Simulazione verilog Lucidi del Corso di Elettronica Digitale Modulo 8 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB) Il verilog

Dettagli

Come è fatto un computer

Come è fatto un computer Come è fatto un computer COMPUTER = HARDWARE + SOFTWARE Hardware = Ferramenta Ovvero la parte elettronica e meccanica del PC Software = i programmi TIPI DI COMPUTER mainframe workstation server IL COMPUTER

Dettagli

Corso di Sistemi di Elaborazione delle informazioni

Corso di Sistemi di Elaborazione delle informazioni Corso di Sistemi di Elaborazione delle informazioni LEZIONE 2 (HARDWARE) a.a. 2011/2012 Francesco Fontanella Tre concetti Fondamentali Algoritmo; Automa (o anche macchina); Calcolo; 2 Calcolatore MACCHINA

Dettagli

Architettura di Von Neumann

Architettura di Von Neumann Architettura di Von Neumann L architettura è ancora quella classica sviluppata da Von Neumann nel 1947. L architettura di Von Neumann riflette le funzionalità richieste da un elaboratore: memorizzare i

Dettagli

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS

Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Elettronica Inverter con transistore MOS; tecnologia CMOS e porte logiche combinatorie CMOS Valentino Liberali Dipartimento di Fisica Università degli Studi di Milano valentino.liberali@unimi.it Elettronica

Dettagli

Memorie. Definizione di memoria

Memorie. Definizione di memoria Corso di Calcolatori Elettronici I A.A. 2010-2011 Memorie Lezione 24 Prof. Roberto Canonico Università degli Studi di Napoli Federico II Facoltà di Ingegneria Corso di Laurea in Ingegneria Informatica

Dettagli

Le memorie. Introduzione

Le memorie. Introduzione Le memorie Introduzione Una memoria è un sistema elettronico in grado di immagazzinare dati in forma binaria, per poi renderli disponibili ad ogni richiesta. Tale sistema è costituito da un insieme di

Dettagli

Interrupt. Interno. Esterno. I/O (Gestione dei trasferimenti dati con la cpu e la memoria)

Interrupt. Interno. Esterno. I/O (Gestione dei trasferimenti dati con la cpu e la memoria) Interruzioni Interruzioni Le operazioni di I/O vengono gestite tramite un meccanismo chiamato Interrupt; Con l Interrupt il dispositivo d I/O invia un segnale (segnale d Interrupt) sul bus ogni volta che

Dettagli

Il quadro di insieme. Tecnologie per la memoria e gerarchie di memoria. Un ripasso: latch D e flip-flop D. Un ripasso: clock

Il quadro di insieme. Tecnologie per la memoria e gerarchie di memoria. Un ripasso: latch D e flip-flop D. Un ripasso: clock Il quadro di insieme I cinque componenti di un calcolatore Tecnologie per la memoria e gerarchie di memoria Processore Unità di controllo Memoria Dispositivi di input Architetture dei Calcolatori (lettere

Dettagli

4 STRUTTURE CMOS. 4.1 I componenti CMOS

4 STRUTTURE CMOS. 4.1 I componenti CMOS 4.1 4 STRUTTURE CMOS 4.1 I componenti CMOS Un componente MOS (Metal-Oxide-Silicon) transistor è realizzato sovrapponendo vari strati di materiale conduttore, isolante, semiconduttore su un cristallo di

Dettagli

Modulo 1 Le memorie. Si possono raggruppare i sistemi di elaborazione nelle seguenti categorie in base alle possibilità di utilizzazione:

Modulo 1 Le memorie. Si possono raggruppare i sistemi di elaborazione nelle seguenti categorie in base alle possibilità di utilizzazione: Modulo 1 Le memorie Le Memorie 4 ETA Capitolo 1 Struttura di un elaboratore Un elaboratore elettronico è un sistema capace di elaborare dei dati in ingresso seguendo opportune istruzioni e li elabora fornendo

Dettagli

LA MEMORIA NEL CALCOLATORE

LA MEMORIA NEL CALCOLATORE Tipi di memorie (1) Rappresenta il supporto fisico, il dispositivo su cui sono immagazzinate le informazioni (dati e programmi) ROM (Read Only Memory) 1-2 MByte Cache 1 MByte di sola lettura contiene programmi

Dettagli

Lezione 16 Introduzione al sottosistema di memoria

Lezione 16 Introduzione al sottosistema di memoria Lezione 16 Introduzione al sottosistema di memoria http://www.dii.unisi.it/~giorgi/didattica/arcal1 All figures from Computer Organization and Design: The Hardware/Software Approach, Second Edition, by

Dettagli

Dispositivi unipolari Il contatto metallo-semiconduttore Il transistor JFET Il transistor MESFET Il diodo MOS Il transistor MOSFET

Dispositivi unipolari Il contatto metallo-semiconduttore Il transistor JFET Il transistor MESFET Il diodo MOS Il transistor MOSFET Dispositivi unipolari Il contatto metallo-semiconduttore Il transistor JFET Il transistor MESFET Il diodo MOS Il transistor MOSFET 1 Contatti metallo semiconduttore (1) La deposizione di uno strato metallico

Dettagli

Pipeline. Esempio pipeline lineare a 5 stadi. Tempificazione S1 S2 S3 S4 S5. Istruzioni. Istruzione 4. Istruzione 3. Istruzione 2. tempo.

Pipeline. Esempio pipeline lineare a 5 stadi. Tempificazione S1 S2 S3 S4 S5. Istruzioni. Istruzione 4. Istruzione 3. Istruzione 2. tempo. Pipeline Esempio pipeline lineare a 5 stadi Istruzioni S1 S2 S3 S4 S5 Tempificazione Istruzione 4 S1 S2 S3 S4 S5 Istruzione 3 S1 S2 S3 S4 S5 Istruzione 2 S1 S2 S3 S4 S5 Istruzione 1 S1 S2 S3 S4 S5 tempo

Dettagli

Introduzione alle gerarchie di memoria

Introduzione alle gerarchie di memoria Introduzione alle gerarchie di memoria 1 Un ripasso Circuito sequenziale Segnale di clock Circuito sincrono Temporizzazione sensibile ai fronti Latch tipo S-R Latch tipo D Flip-flop tipo D Register file

Dettagli

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali Elettronica dei Sistemi igitali Registri di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Architettura dei Calcolatori

Architettura dei Calcolatori Architettura dei Calcolatori Sistema di memoria parte prima Ing. dell Automazione A.A. 2011/12 Gabriele Cecchetti Sistema di memoria parte prima Sommario: Banco di registri Generalità sulla memoria Tecnologie

Dettagli

Dal sistema operativo all' hardware

Dal sistema operativo all' hardware Dal sistema operativo all' hardware Di cosa parleremo? Il computer (processore e memoria principale) Cosa avviene all'avvio del computer? Scheda madre Alimentatore Memorie Secondarie (floppy disk, hard

Dettagli

Tecniche di Progettazione Digitale Elementi di memoria CMOS e reti sequenziali p. 2

Tecniche di Progettazione Digitale Elementi di memoria CMOS e reti sequenziali p. 2 Tecniche di Progettazione igitale Elementi di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Architettura dei sistemi di elaborazione: La memoria (parte 1)

Architettura dei sistemi di elaborazione: La memoria (parte 1) Architettura dei sistemi di elaborazione: La memoria (parte 1) CPU e Memoria La tecnologia consente di realizzare CPU sempre più veloci. A partire dal 2006 sono stati messi sul mercato processori con frequenza

Dettagli

Classificazione delle memorie

Classificazione delle memorie - parti del sistema dedicate all'immagazzinamento di dati e istruzioni - occupano la maggior parte dell'area di un microprocessore - maggiore versatilità nelle regole di progetto rispetto alle porte logiche

Dettagli

Architettura dei computer

Architettura dei computer Architettura dei computer In un computer possiamo distinguere quattro unità funzionali: il processore (CPU) la memoria principale (RAM) la memoria secondaria i dispositivi di input/output Il processore

Dettagli

T9 REGISTRI, CONTATORI, MEMORIE A SEMICONDUTTORE

T9 REGISTRI, CONTATORI, MEMORIE A SEMICONDUTTORE T9 REGISTRI, CONTATORI, MEMORIE A SEMICONDUTTORE T9.1 I registri integrati hanno spesso una capacità di 4 bit o multipla di 4 bit. Nel linguaggio informatico un gruppo di 4 bit viene detto: [a] byte....

Dettagli

1.4b: Hardware. (Memoria Centrale)

1.4b: Hardware. (Memoria Centrale) 1.4b: Hardware (Memoria Centrale) Bibliografia Curtin, Foley, Sen, Morin Informatica di base, Mc Graw Hill Ediz. Fino alla III : cap. 3.11, 3.13 IV ediz.: cap. 2.8, 2.9 Questi lucidi Memoria Centrale Un

Dettagli

I.I.S. Benvenuto Cellini. Corso di formazione tecnica. Memoria Primaria. Prof. Alessandro Pinto. v.2009

I.I.S. Benvenuto Cellini. Corso di formazione tecnica. Memoria Primaria. Prof. Alessandro Pinto. v.2009 I.I.S. Benvenuto Cellini Corso di formazione tecnica Memoria Primaria Prof. Alessandro Pinto v.9 Memoria: contiene i dati da elaborare, i risultati dell elaborazione, il programma Memoria centrale (o primaria):

Dettagli

Logica CMOS dinamica

Logica CMOS dinamica Logica CMOS dinamica Ing. Ivan Blunno 21 aprile 2005 1 Introduzione In quessta dispensa verrà presentata la logica CMOS dinamica evidenziandone i principi di funzionamento, la tecnica di progetto i vantaggi

Dettagli

Architettura dei calcolatori

Architettura dei calcolatori Cos'è un calcolatore? Architettura dei calcolatori Esecutore automatico di algoritmi Macchina universale Elementi di Informatica Docente: Giorgio Fumera Corso di Laurea in Edilizia Facoltà di Architettura

Dettagli

Memorie a Stato Solido

Memorie a Stato Solido Memorie a Stato Solido Calcolatori Elettronici a.a. 2001-2002 Omero Tuzzi Memorie a stato solido, 1 Introduzione Ogni sistema di elaborazione contiene dispositivi per la memorizzazione di dati ed istruzioni.

Dettagli

I dispositivi di input/output: il mouse

I dispositivi di input/output: il mouse I dispositivi di input/output: il mouse Oggi quasi tutti i computer hanno un dispositivo di puntamento detto mouse Una freccia indica la posizione del mouse sul video e lo spostamento del mouse sul tavolo

Dettagli

I bistabili ed il register file

I bistabili ed il register file I bistabili ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano 1/32 Sommario I problemi dei latch trasparenti sincroni

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli

Le memorie. Generalità E applicazioni

Le memorie. Generalità E applicazioni Le memorie Generalità E applicazioni Caratteristiche generali Tempo di risposta Capacità Alimentazione Dissipazione di potenza Numero di pin Costo per bit Modalità di accesso Per poter scrivere un dato

Dettagli

Informatica. Informazione L Informazione è un dato, o un insieme di dati, interpretati in un determinato contesto.

Informatica. Informazione L Informazione è un dato, o un insieme di dati, interpretati in un determinato contesto. Informatica Con il termine Informatica (Informazione automatica) si intende la scienza che si occupa della Rappresentazione e della Elaborazione automatica delle Informazioni. Informazione L Informazione

Dettagli

Clocking. Architetture dei Calcolatori (Lettere. di Memoria. Elemento. scritti. Tecnologie per la Memoria e Gerarchie di Memoria

Clocking. Architetture dei Calcolatori (Lettere. di Memoria. Elemento. scritti. Tecnologie per la Memoria e Gerarchie di Memoria Clocking Architetture dei Calcolatori (Lettere A-I) Tecnologie per la Memoria e Gerarchie di Memoria Ing.. Francesco Lo Presti Il segnale di Clock definisce quando i segnali possono essere letti e quando

Dettagli

Dipartimento di Ingegneria Industriale e dell Informazione. Sistema per la misura del guadagno di corrente beta in transistori bipolari

Dipartimento di Ingegneria Industriale e dell Informazione. Sistema per la misura del guadagno di corrente beta in transistori bipolari Dipartimento di Ingegneria Industriale e dell Informazione Sistema per la misura del guadagno di corrente beta in transistori bipolari Misura del parametro beta I C I E IB I E I B I C β ( I C,V CE )= I

Dettagli

METODOLOGIE PROGETTUALI CMOS

METODOLOGIE PROGETTUALI CMOS METODOLOGIE PROGETTUALI CMOS Un sistema elettronico/circuito integrato può essere descritto in tre diversi domini, comportamentale (behavior), strutturale e fisico. All interno di ciascun dominio la descrizione

Dettagli

Circuiti statici, dinamici e circuiti sequenziali. Esercizio A 15/07/2007

Circuiti statici, dinamici e circuiti sequenziali. Esercizio A 15/07/2007 ircuiti statici, dinamici e circuiti sequenziali. Esercizio A 15/07/007 Il circuito di figura è statico o dinamico? Illustrare la funzione del transistore TR Il transistor TR ha il compito di mantenere

Dettagli

Organizzazione della memoria

Organizzazione della memoria Memorizzazione dati La fase di codifica permette di esprimere qualsiasi informazione (numeri, testo, immagini, ecc) come stringhe di bit: Es: di immagine 00001001100110010010001100110010011001010010100010

Dettagli

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione.

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. Dispensina per gli studenti di Ingegneria Gestionale. A.A.

Dettagli

Informatica. 80 ore divise in 4 UFC. Docente: Michela Bacchin. Contatti: Tramite Ermes Studenti

Informatica. 80 ore divise in 4 UFC. Docente: Michela Bacchin. Contatti: Tramite Ermes Studenti Informatica 80 ore divise in 4 UFC Docente: Michela Bacchin Contatti: michela.bacchin.corsi@gmail.com Tramite Ermes Studenti Programma UFC 12: Strumenti per il trattamento dei documenti testuali UFC 13:

Dettagli

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005 Tecnologia CMOS Ing. Ivan lunno 2 aprile 25 Introduzione In questa dispensa verranno presentati i circuiti CMOS (Complementary MOS). Nella prima parte verrà analizzato in dettaglio il funzionamento di

Dettagli

Circuiti per l Elaborazione del Segnale: Capacità Commutate

Circuiti per l Elaborazione del Segnale: Capacità Commutate Circuiti per l Elaborazione del Segnale: Capacità Commutate Lucidi del Corso di Microelettronica Parte 6 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica

Dettagli

Componenti e connessioni. Capitolo 3

Componenti e connessioni. Capitolo 3 Componenti e connessioni Capitolo 3 Componenti principali CPU (Unità Centrale di Elaborazione) Memoria Sistemi di I/O Connessioni tra loro Architettura di Von Neumann Dati e instruzioni in memoria (lettura

Dettagli

Organizzazione della memoria principale Il bus

Organizzazione della memoria principale Il bus Corso di Alfabetizzazione Informatica 2001/2002 Organizzazione della memoria principale Il bus Organizzazione della memoria principale La memoria principale è organizzata come un insieme di registri di

Dettagli

Lezione 15. L elaboratore Elettronico

Lezione 15. L elaboratore Elettronico Lezione 15 Architettura di un calcolatore L elaboratore Elettronico Un elaboratore elettronico è una macchina elettronica in grado di elaborare dati secondo le specifiche fornite da un algoritmo Internamente

Dettagli

Convertitori D/A. Convertitori Digitale-Analogico. D/A: Misura Prestazioni. D/A Ideale. Caratteristica. Lucidi del Corso di Microelettronica Parte 7

Convertitori D/A. Convertitori Digitale-Analogico. D/A: Misura Prestazioni. D/A Ideale. Caratteristica. Lucidi del Corso di Microelettronica Parte 7 Convertitori D/A Un convertitore D/A prende in ingresso un numero digitale (rappresentato da una stringa di 1 e 0) e lo converte in un valore analogico (tipicamente una tensione) proporzionale tramite

Dettagli

{ v c 0 =A B. v c. t =B

{ v c 0 =A B. v c. t =B Circuiti RLC v c t=ae t / B con τ=rc e { v c0=ab v c t =B Diodo La corrente che attraversa un diodo quando questo è attivo è i=i s e v /nv T n ha un valore tra e. Dipende dalla struttura fisica del diodo.

Dettagli

Dispositivi e Tecnologie Elettroniche. Memorie a semiconduttore

Dispositivi e Tecnologie Elettroniche. Memorie a semiconduttore Dispositivi e Tecnologie Elettroniche Memorie a semiconduttore Classificazione Memorie a sola lettura ROM - Mask programmed ROM - Programmable ROM (PROM) Memorie non volatili NVRWM - EPROM - EEPROM o E

Dettagli

Corso di Informatica

Corso di Informatica CdLS in Odontoiatria e Protesi Dentarie Corso di Informatica Prof. Crescenzio Gallo crescenzio.gallo@unifg.it La memoria principale 2 izzazione della memoria principale ria principale è organizzata come

Dettagli

MEMORIA CENTRALE MEMORIA CENTRALE INTERAZIONE CPU-MEMORIA CENTRALE

MEMORIA CENTRALE MEMORIA CENTRALE INTERAZIONE CPU-MEMORIA CENTRALE MEMORIA CENTRALE Spazio di lavoro del calcolatore: contiene i dati da elaborare e i risultati delle elaborazioni svolte durante il funzionamento del calcolatore. Insieme di celle di dimensione 1 byte,

Dettagli

separazione dei wafer processo di integrazione CMOS singola fetta testing su fetta inserimento nel package

separazione dei wafer processo di integrazione CMOS singola fetta testing su fetta inserimento nel package lingotto separazione dei wafer processo di integrazione CMOS singola fetta fette lavorate testing su fetta separazione dei dice inserimento nel package VENDITA testing sul dispositivo finito Figura 1.

Dettagli

Informatica - A.A. 2010/11

Informatica - A.A. 2010/11 Ripasso lezione precedente Facoltà di Medicina Veterinaria Corso di laurea in Tutela e benessere animale Corso Integrato: Matematica, Statistica e Informatica Modulo: Informatica Esercizio: Convertire

Dettagli

Il processore. Il processore. Il processore. Il processore. Architettura dell elaboratore

Il processore. Il processore. Il processore. Il processore. Architettura dell elaboratore Il processore Architettura dell elaboratore Il processore La esegue istruzioni in linguaggio macchina In modo sequenziale e ciclico (ciclo macchina o ciclo ) Effettuando operazioni di lettura delle istruzioni

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Informatica giuridica

Informatica giuridica Informatica giuridica Corso di laurea in Scienze dei Servizi giuridici Corso di laurea magistrale in Giurisprudenza A.A. 2015/16 L architettura hardware degli elaboratori La scheda madre Memoria principale

Dettagli

Informatica di Base - 6 c.f.u.

Informatica di Base - 6 c.f.u. Università degli Studi di Palermo Dipartimento di Ingegneria Informatica Informatica di Base - 6 c.f.u. Anno Accademico 2007/2008 Docente: ing. Salvatore Sorce Architettura dei calcolatori III parte Dispositivi

Dettagli

Circuiti digitali. Parte III. Logica Digitale e Memorie. Funzioni logiche (booleane) Tavola della verità

Circuiti digitali. Parte III. Logica Digitale e Memorie. Funzioni logiche (booleane) Tavola della verità Circuiti digitali Parte III Logica Digitale e Memorie INGRESSI i 1 i n CIRCUITO DIGITALE Circuiti elettronici i cui ingressi e le cui uscite assumono solo due livelli Al circuito sono associate le funzioni

Dettagli