GARA NAZIONALE DI ELETTRONICA E TELECOMUNICAZIONI PROVA SCRITTA. 7 maggio 2013

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "GARA NAZIONALE DI ELETTRONICA E TELECOMUNICAZIONI PROVA SCRITTA. 7 maggio 2013"

Transcript

1 Soluzione Istituto Statale di Istruzione Secondaria Superiore ETTORE MJORN SERITE (G) Via Partigiani 1 -Tel Fax majorana@ettoremajorana.gov.it - sito internet: od.mecc.gis01700 od.fisc Md 01 omunicazioni edizione 1/12 revisione 20/06/12 GR NZIONLE DI ELETTRONI E TELEOMUNIZIONI PROV SRITT. 7 maggio Schema a blocchi Gara nazionale di Elettronica 2013: soluzione (prof. Giorgio Portaluri - Lorenzo hiesa - Luca Ravasio) pag 1 di 5

2 Descrizione dello schema a blocchi Il lettore ottico fornisce due segnali: ODE e K. Il ODE viene letto da un registro SIPO (Serial Input Parallel Output) e la sincronizzazione di lettura è gestita dal segnale di K. Esaminando i codici assegnati ai tre sorveglianti si nota che il primo ed il quinto bit sono uguali per tutti i sorveglianti, in particolare: il primo e sempre un UNO ed il quinto è sempre uno ZERO. Invertendo l ordina di lettura (OUT) il primo è ZERO ed il quinto è un UNO. Pertanto questi due bit possono essere utilizzati per determinare se il sorvegliante entra oppure esce. La parte di codice che distingue i tre sorveglianti è formata da tre bit intermedi, essi hanno la particolarità di essere simmetrici rispetto al centro e quindi formano lo stesso numero sia se letti in un verso sia se letti nel verso contrario. Questa parte di codice viene decodificata mediante un demultiplexer. Il sorvegliante con il codice 111 attiva l uscita Q 7, il con codice 101 attiva l uscita Q 5 ed il con codice 010 attiva l uscita Q 2. Il contatore modulo 5 determina il momento in cui è stato letto il quinto bit del codice e segnala tale situazione con il fronte di discesa sulla sua uscita Q 2. Questo segnale viene convertito in impulso basso e con un certo ritardo viene invertito e inviato al circuito di sincronismo. Quando il circuito di sincronismo riceve l impulso positivo (quindi dopo il quinto impulso di clock) va a vedere quale sorvegliante è stato rilevato e se è entrato o uscito intervenendo sul relativo latch SR per memorizzare l evento mediante l accensione o lo spegnimento del LED corrispondente al sorvegliante rilevato. Il conteggio del numero di visitatori presenti viene effettuato con un contatore Up/Down D a due cifre (quattro bit per cifra = 8 bit). Il segnale di conteggio Up proviene dal tornello di ingresso, mentre il segnale di conteggio Down proviene da uno dei due tornelli di uscita. Il valore degli otto bit del contatore è inviato al visualizzatore per la visualizzazione del numero di visitatori presenti. L allarme entra in funzione se è presente almeno un visitatore (OR fra tutti i bit del contatore) e (ND) non è presente alcun sorvegliante (OR negato fra i sorveglianti). Il blocco del tornello d ingresso si verifica se il numero di visitatori supera 90 (n = 90) oppure (OR) non è presente alcun sorvegliante. L apertura della elettroserratura avviene quando uno dei sorveglianti varia il suo stato da presente diventa assente e viceversa. Gara nazionale di Elettronica 2013: soluzione (prof. Giorgio Portaluri - Lorenzo hiesa - Luca Ravasio) pag 2 di 5

3 Schema elettrico parte Gestione sorveglianti ode lok U1 74LS164 Dsa Q7 Dsb Q6 Q5 P Q4 U6 U13 U2 U14 10K 10K 1N4148 U7 U13D U3 74LS138 74LS E3 E2 E1 1N4148 U7 Q7 Q6 Q5 Q4 U5E U5 U5D U11 U8 U8 U8 U11 U11 U10 S R Q _Q S R U9 Q _ Q N U12 S Q _ R Q N N R3 Il segnale ODE proveniente dal lettore è applicato all ingresso seriale di un registro a scorrimento 74xx164. Lo scorrimento è sincronizzato dal segnale di LOK proveniente dallo stesso lettore. Dopo cinque colpi di LOK il codice è stato letto completamente ed è disponibile sulle uscite da a Q4. I bit, e sono utilizzati per individuare il nome del sorvegliante e sono inviati ad un decoder 74xx138. Le uscite attivabili dai tre codici sono (010); Q5 (101) e Q7 (111). L integrato 74xx138 fornisce uscite attive a livello basso che sono invertite per ottenere un livello alto. Per individuare l entrata del sorvegliante si usa l uscita di U14 che è alta se Q4 = 1 e = 0. Questo livello alto viene utilizzato per abilitare l ingresso SET dei Latch destinati alla memorizzazione dell avvenuta entrata (accensione LED ). Quando invece questo livello è basso viene abilitato il RESET degli stessi Latch e quindi il conseguente spegnimento del LED. L integrato U6 (74xx192) è organizzato come contatore modulo 5 e serve a contare i cinque colpi di LOK generati dalla lettura del codice. Dopo cinque impulsi il contatore genera un fronte di discesa su che, convertito in impulso, abilità il circuito di sincronismo formato da U11, U8, U8, U8, U11, U11. Questo circuito agisce sui latch SR per accendere il LED corrispondente al sorvegliante che entra o a spegnere quello corrispondente al sorvegliante che esce. I terminali,, ed i loro negati N, N, N servono per altri blocchi circuitali. Gara nazionale di Elettronica 2013: soluzione (prof. Giorgio Portaluri - Lorenzo hiesa - Luca Ravasio) pag 3 di 5

4 Gestione visitatori tornin 10k U9 10k U9 U2 U1 L1 L2 L3 L4 L5 L6 L7 L8 tornout tornout U3 U3 U4 U7 U8 U8 U6 680k llarme No Il conteggio dei visitatori presenti è affidato a due contatori D 74xx192 in cascata, che contano avanti (Up) ed indietro Down. Il tornello d ingresso chiude il contatto torn IN e produce un segnale che, applicato a, incrementa il conteggio. Il circuito formato da R 1, 2 e la porta NOT triggerata funzionano da antirimbalzo. Ogni tornello di uscita torn OUT produce un segnale che, arrivando a, decrementa il contatore. I pulsanti sono provvisti di circuito antirimbalzo. Il segnale di decremento può provenire da un tornello oppure dall altro. I LED da L1 ad L8 simulano il visualizzatore. L uscita della porta U7 va alta se: almeno un bit del contatore è alto (almeno un visitatore è presente) e (ND) nessun sorvegliante è presente (OR negata). L uscita di U7 abilita il funzionamento dell oscillatore formato da U8,,. Il lampeggio del LED rappresenta l'allarme. Gara nazionale di Elettronica 2013: soluzione (prof. Giorgio Portaluri - Lorenzo hiesa - Luca Ravasio) pag 4 di 5

5 Schema elettrico Parte No 7 U3 U4 4 loctornin R3 U5 Elettro D4 3 U4 4,7k T1 D5 N 4 D6 R6 N 5 U5 N D7 6 R7 D8 R8 Nella parte in alto vengono testati i valori dei bit 7 e 4 che assumono il valore alto con il numero 90 (testando anche il bit 0 si può riconoscere il valore 91, ossia maggiore di 90), quindi il LED (blocco tornello) si accende quando numero di visitatori è 90 oppure se non ci sono sorveglianti ( No ). Quest ultimo segnale proviene dal circuito rappresentato nello schema precedente. Nella parte in basso il filtro passa alto, R3 produce un impulso positivo ogni volta che sul terminale si ha un fronte di salita. Tale impulso ha durata di circa t i = R3 = 220 ms e si propaga fino al transistor T1 che apre l elettroserratura. nalogo risultato si ha quando il fronte di salita proviene dai terminali,, ecc. fino a N. Gara nazionale di Elettronica 2013: soluzione (prof. Giorgio Portaluri - Lorenzo hiesa - Luca Ravasio) pag 5 di 5

GARA NAZIONALE DI ELETTRONICA E TELECOMUNICAZIONI. PROVA SCRITTA. 7 maggio 2013

GARA NAZIONALE DI ELETTRONICA E TELECOMUNICAZIONI. PROVA SCRITTA. 7 maggio 2013 Istituto Statale di Istruzione Secondaria Superiore ETTORE MAJORANA 24068 SERIATE (BG) Via Partigiani 1 -Tel. 035-297612 - Fax 035-301672 e-mail: majorana@ettoremajorana.gov.it - sito internet: www.ettoremajorana.gov.it

Dettagli

Anno scolastico Supervisore Prof. Giancarlo Fionda Insegnante di Elettronica

Anno scolastico Supervisore Prof. Giancarlo Fionda Insegnante di Elettronica A cura dell alunna Martina Covelli della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda

Dettagli

Circuiti sequenziali. Circuiti sequenziali e applicazioni

Circuiti sequenziali. Circuiti sequenziali e applicazioni Circuiti sequenziali Circuiti sequenziali e applicazioni Circuiti sequenziali Prima di poter parlare delle memorie è utile dare un accenno ai circuiti sequenziali. Per circuiti sequenziali intendiamo tutti

Dettagli

PSPICE Circuiti sequenziali principali

PSPICE Circuiti sequenziali principali PSPICE Circuiti sequenziali principali Davide Piccolo Riccardo de Asmundis Elaboratori 1 Circuiti Sequenziali Tutti i circuiti visti fino ad ora erano circuiti combinatori, ossia circuiti in cui lo stato

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Esercitazione 2 I Flip Flop 1. ual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano

Dettagli

Università degli Studi di Cassino

Università degli Studi di Cassino di assino orso di alcolatori Elettronici I Elementi di memoria e registri Anno Accademico 27/28 Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario utilizzare

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Circuiti Sequenziali

Circuiti Sequenziali Circuiti Sequenziali 1 Ingresso Circuito combinatorio Uscita Memoria L uscita al tempo t di un circuito sequenziale dipende dagli ingressi al tempo (t) e dall uscita al tempo (t- t ) Circuiti sequenziali

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali - I circuiti sequenziali sono caratterizzati dal fatto che, in un dato istante tn+1 le uscite dipendono dai livelli logici di ingresso nell'istante tn+1 ma anche dagli stati assunti

Dettagli

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D

Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Reti Sincrone Le reti sequenziali sincrone memorizzano il proprio stato in dei FF-D Le variabili di stato future sono quelle all ingresso dei FF-D mentre le variabili di stato presente sono le uscite dei

Dettagli

VERIFICA DEL FUNZIONAMENTO DI CONTATORI ASINCRONI E VISUALIZZAZIONE DEL CONTEGGIO SU VISUALIZZATORE A LED A 7 SEGMENTI

VERIFICA DEL FUNZIONAMENTO DI CONTATORI ASINCRONI E VISUALIZZAZIONE DEL CONTEGGIO SU VISUALIZZATORE A LED A 7 SEGMENTI A cura dell alunna Martina Covelli della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda

Dettagli

LSS Reti Logiche: circuiti sequenziali

LSS Reti Logiche: circuiti sequenziali LSS 2016-17 Reti Logiche: circuiti sequenziali Piero Vicini A.A. 2017-2018 Circuiti combinatori vs sequenziali L output di un circuito combinatorio e solo funzione del valore combinatorio degli ingressi

Dettagli

Corso di Calcolatori Elettronici I Flip-flop

Corso di Calcolatori Elettronici I Flip-flop Corso di Calcolatori Elettronici I Flip-flop Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Informazione Corso di Laurea in Ingegneria Informatica

Dettagli

Flip-flop e loro applicazioni

Flip-flop e loro applicazioni Flip-flop e loro applicazioni Reti sequenziali elementari (6) L'elemento bistabile Latch o flip-flop trasparenti Temporizzazione dei flip-flop trasparenti Architettura master-slave Flip-flop non trasparenti

Dettagli

Elettronica Sistemi Digitali 09. Flip-Flop

Elettronica Sistemi Digitali 09. Flip-Flop Elettronica Sistemi igitali 09. Flip-Flop Roberto Roncella Flip-flop e loro applicazioni Reti sequenziali elementari (6) L'elemento bistabile Latch o flip-flop trasparenti Temporizzazione dei flip-flop

Dettagli

2 storage mechanisms positive feedback charge-based

2 storage mechanisms positive feedback charge-based Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based Positive Feedback: Bi-Stability V i V o = V i 2 V o2 V o2

Dettagli

Linguaggi di Programmazione

Linguaggi di Programmazione IEC 1131 Linguaggi di Programmazione Linguaggio a contatti (Ladder Diagram) Diagramma a blocchi funzionali (FBD) Diagramma sequenziale funzionale (SFC) Lista di istruzioni Testo strutturato Ladder Diagram

Dettagli

Reti logiche (2) Circuiti sequenziali

Reti logiche (2) Circuiti sequenziali Reti logiche (2) Circuiti sequenziali 1 Un ripasso Algebra booleana: operatori, postulati, identità, operatori funzionalmente completi Circuiti combinatori: tabelle di verità, porte logiche Decodificatore

Dettagli

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A:

ESPERIMENTAZIONI DI FISICA 3. Traccia delle lezioni di Elettronica digitale M. De Vincenzi A.A: ESPERIMENTZIONI DI FISIC 3 Traccia delle lezioni di Elettronica digitale M. De Vincenzi.: 22-23 Contenuto. Sistemi elettrici a 2 livelli 2. lgebra di oole Definizione Sistemi funzionali completi Leggi

Dettagli

Reti logiche (2) Circuiti sequenziali

Reti logiche (2) Circuiti sequenziali Reti logiche (2) Circuiti sequenziali 1 Un ripasso Algebra booleana: operatori, postulati, identità, operatori funzionalmente completi Circuiti combinatori: tabelle di verità, porte logiche Decodificatore

Dettagli

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno Gli elementi di memoria: i bistabili I registri Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08 08 Circuiti sequenziali Nei circuiti sequenziali il valore delle uscite in un dato istante dipende

Dettagli

PLC Sistemi a Logica Programmabile Il linguaggio AWL istruzioni di base

PLC Sistemi a Logica Programmabile Il linguaggio AWL istruzioni di base PLC Sistemi a Logica Programmabile Il linguaggio AWL istruzioni di base Prof. Nicola Ingrosso Guida di riferimento all applicazione applicazione dei Microcontrollori Programmabili IPSIA G.Ferraris Brindisi

Dettagli

AXO Architettura dei Calcolatori e Sistemi Operativi. reti sequenziali

AXO Architettura dei Calcolatori e Sistemi Operativi. reti sequenziali AXO Architettura dei Calcolatori e Sistemi Operativi reti sequenziali Sommario Circuiti sequenziali e elementi di memoria Bistabile SR asincrono Temporizzazione e clock Bistabili D e SR sincroni Flip-flop

Dettagli

Reti e Sistemi per l Automazione LADDER LOGIC. Stefano Panzieri Ladder Logic - 1

Reti e Sistemi per l Automazione LADDER LOGIC. Stefano Panzieri Ladder Logic - 1 LADDER LOGIC Stefano Panzieri Ladder Logic - 1 Linguaggi di Programmazione IEC 1131 Linguaggio a contatti (Ladder Diagram) Diagramma a blocchi funzionali (FBD) Diagramma sequenziale funzionale (SFC) Lista

Dettagli

Livello logico digitale

Livello logico digitale Livello logico digitale circuiti combinatori di base e circuiti sequenziali Half Adder - Semisommatore Ingresso 2 bit, uscita 2 bit A+ B= ------ C S C=AB S=AB + AB=A B A B In Out HA A B C S S HA A C S

Dettagli

Decodificatore (decoder) 1 su m

Decodificatore (decoder) 1 su m Decodificatore (decoder) 1 su m Un decodificatore è una macchina che riceve in ingresso una parola codice (C) su n bit e presenta in uscita la sua rappresentazione decodificata (linee U 0, U N-1 ) su m=2

Dettagli

ESA_2014_5 BM Prova scritta ESD del

ESA_2014_5 BM Prova scritta ESD del ESA_2014_5 BM Prova scritta ESD del 08.09.2014 Cognome: Nome: Matricola: Email: Aula: Riga Colonna MSF Micro TOT Si consideri disponibile un sistema basato sul microprocessore DMC8, così configurato: 32

Dettagli

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali

Porte logiche di base. Cenni circuiti, reti combinatorie, reti sequenziali Porte logiche di base Cenni circuiti, reti combinatorie, reti sequenziali NOT AND A R A B R OR A R B Quindi NAND o NOR sono complete circuiti con solo porte NAND o solo porte NOR. Reti combinatorie Rete

Dettagli

Flip flop: tempificazione latch ed edge-triggered

Flip flop: tempificazione latch ed edge-triggered Corso di Calcolatori Elettronici I A.A. 2010-2011 Flip flop: tempificazione latch ed edge-triggered Lezione 23-26 Università degli Studi di Napoli Federico II Facoltà di Ingegneria I flip flop - 1 Generalità

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2014/15 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori...

Prefazione del Prof. Filippo Sorbello... VII. Prefazione del Prof. Mauro Olivieri... Prefazione degli autori... Indice Prefazione del Prof. Filippo Sorbello........................... VII Prefazione del Prof. Mauro Olivieri............................ Prefazione degli autori.........................................

Dettagli

Esercitazione 03. Memorie e Registri. Gianluca Brilli 03/05/19 ARCHITETTURA DEI CALCOLATORI 1

Esercitazione 03. Memorie e Registri. Gianluca Brilli 03/05/19 ARCHITETTURA DEI CALCOLATORI 1 Esercitazione 03 Memorie e Registri Gianluca Brilli gianluca.brilli@unimore.it 03/05/19 ARCHITETTURA DEI CALCOLATORI 1 Obiettivi - ALU Unità Aritmetico- Logica. Vista nel blocco di esercitazioni precedente

Dettagli

Reti sequenziali notevoli: registri, registri a scorrimento, contatori ing. Alessandro Cilardo

Reti sequenziali notevoli: registri, registri a scorrimento, contatori ing. Alessandro Cilardo Corso di Calcolatori Elettronici I A.A. 2012-2013 Reti sequenziali notevoli: registri, registri a scorrimento, contatori ing. Alessandro Cilardo Accademia Aeronautica di Pozzuoli Corso Pegaso V GArn Elettronici

Dettagli

La logica Cuniberti cucchi-vol.1 Segnali elettrici. Segnale analogico

La logica Cuniberti cucchi-vol.1 Segnali elettrici. Segnale analogico La logica Cuniberti cucchi-vol.1 Segnali elettrici I segnali elettrici, di tensione o di corrente, sono grandezze che variano in funzione del tempo; in base al loro andamento, o forma d onda, possono essere

Dettagli

I flip-flop ed il register file. Sommario

I flip-flop ed il register file. Sommario I flip-flop ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimento sul Patterson: Sezioni C.9 e C.11 1/35

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

GENERATORE DI RAMPA A GRADINI

GENERATORE DI RAMPA A GRADINI Istituto Professionale di Stato per l'industria e l'artigianato MORETTO Via Luigi Apollonio, 21 BRESCIA GENERATORE DI RAMPA A GRADINI Realizzazione CHIMINI MASSIMILIANO FONTANA MASSIMO della classe 5AI

Dettagli

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Circuiti combinatori e sequenziali.

Clock. Corso di Architettura degli Elaboratori. Architetture degli Elaboratori. Circuiti combinatori e sequenziali. Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Clock: un circuito che emette una serie di impulsi con una specifica larghezza e intermittenza Tempo di ciclo di clock: intervallo

Dettagli

Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso

Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso ontatori binari Un contatore è un registro che evolve secondo una sequenza predefinita di stati ordinati all applicazione di un impulso di ingresso L impulso di ingresso o impulso di conteggio può coincidere

Dettagli

Componenti notevoli combinatori

Componenti notevoli combinatori Corso di Laurea in Informatica Componenti notevoli combinatori Architettura dei Calcolatori Prof. Andrea Marongiu andrea.marongiu@unimore.it Anno accademico 2018/19 Demultiplexer / Decoder (1/2) Il demultiplexer

Dettagli

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND.

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND. 1 Elementi di memoria: flip-flop e registri Porte logiche elementari CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Livello fisico

Dettagli

Specifiche del protocollo di comunicazione semplificato per il modulo seriale di I/O

Specifiche del protocollo di comunicazione semplificato per il modulo seriale di I/O Specifiche del protocollo di comunicazione semplificato per il modulo seriale di I/O Architettura del frame di comunicazione Il frame di comunicazione è lungo 13 bytes ed ha questo formato nell ordine

Dettagli

(competenze digitali) CIRCUITI SEQUENZIALI

(competenze digitali) CIRCUITI SEQUENZIALI LICEO Scientifico LICEO Scientifico Tecnologico LICEO delle Scienze Umane ITIS (Meccanica, Meccatronica e Energia- Elettronica ed Elettrotecnica Informatica e Telecomunicazioni) ITIS Serale (Meccanica,

Dettagli

Ladder Diagram 16/03/2012. Sommario POLITECNICO DI MILANO. Introduzione. Elementi Base. Elementi Dinamici. Temporizzazione e Contatori

Ladder Diagram 16/03/2012. Sommario POLITECNICO DI MILANO. Introduzione. Elementi Base. Elementi Dinamici. Temporizzazione e Contatori POLITECNICO DI MILANO V Facoltà di Ingegneria LD Ladder Diagram Automazione Industriale A.A. 2011-2012 Sommario Elementi Base Elementi Dinamici Temporizzazione e Contatori Controllo Programma e Blocchi

Dettagli

I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE

I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE ... I FLIP FLOP: COMANDARE DUE LUCI CON UN SOLO PULSANTE di Maurizio Del Corso m.delcorso@farelettronica.com Il nome è senza dubbio simpatico, ma cosa sono i FLIP-FLOP (FF)? Come funzionano? Quale è la

Dettagli

Q1 D. CK Qn CK Q1. E3x - Presentazione della lezione E3

Q1 D. CK Qn CK Q1. E3x - Presentazione della lezione E3 E3x - Presentazione della lezione E3 1/1- Obiettivi» ivisori di frequenza e contatori asincroni» Contatori sincroni» Shift register e convertitori SIPO e PISO» Concetto elementare di macchina a stati finiti

Dettagli

Flip-flop Macchine sequenziali

Flip-flop Macchine sequenziali Flip-flop Macchine sequenziali Introduzione I circuiti digitali possono essere così classificati Circuiti combinatori Il valore delle uscite ad un determinato istante dipende unicamente dal valore degli

Dettagli

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche

FONDAMENTI DI INFORMATICA. Prof. PIER LUCA MONTESSORO. Facoltà di Ingegneria Università degli Studi di Udine. Reti logiche FONDAMENTI DI INFORMATICA Prof. PIER LUCA MONTESSORO Facoltà di Ingegneria Università degli Studi di Udine Reti logiche 2000 Pier Luca Montessoro (si veda la nota di copyright alla slide n. 2) 1 Nota di

Dettagli

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita LOGICA SEQUENZIALE Logica combinatoria Un blocco di logica puramente combinatoria è un blocco con N variabili di ingresso e M variabili di uscita che sono funzione (booleana) degli ingressi in un certo

Dettagli

POLITECNICO DI MILANO. V Facoltà di Ingegneria. Ladder Diagram. Sistemi ad Eventi Discreti

POLITECNICO DI MILANO. V Facoltà di Ingegneria. Ladder Diagram. Sistemi ad Eventi Discreti POLITECNICO DI MILANO V Facoltà di Ingegneria LD Ladder Diagram Sistemi ad Eventi Discreti Sommario Elementi Base Elementi Dinamici Temporizzazione e Contatori Controllo Programma e Blocchi Funzioni Ladder

Dettagli

M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE

M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE Pag. 1/2 Sessione ordinaria 2008 Seconda prova scritta M320 ESAME DI STATO DI ISTITUTO TECNICO INDUSTRIALE CORSO DI ORDINAMENTO Indirizzo: ELETTRONICA E TELECOMUNICAZIONI Tema di: ELETTRONICA (Testo valevole

Dettagli

A.C. Neve Esercizi Digitali 1

A.C. Neve Esercizi Digitali 1 Esercizi di Elettronica Digitale.. Neve Esercizi Digitali 1 Porte logiche Elementari ND OR NND NOR EXOR EXNOR 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 1 0 0 0 1 * Reti logiche con interruttori

Dettagli

Prima prova intercorso. Lezione 10 Logica Digitale (4) Dove siamo nel corso. Un quadro della situazione

Prima prova intercorso. Lezione 10 Logica Digitale (4) Dove siamo nel corso. Un quadro della situazione Prima prova intercorso Lezione Logica Digitale (4) Vittorio carano Architettura Corso di Laurea in Informatica Università degli tudi di alerno Architettura (2324). Vi.ttorio carano Mercoledì 9 Novembre,

Dettagli

Reti sequenziali (segue)

Reti sequenziali (segue) Nel modello ideale le funzioni σ ed ϖ hanno un tempo di stabilizzazione nullo Nel modello reale occorre un ritardo non nullo per la stabilizzazione delle uscite, a partire da quando gli ingressi sono stabili

Dettagli

Progetto di un Interruttore a Combinazione

Progetto di un Interruttore a Combinazione Università di Lecce Diploma Universitario in Ingegneria Informatica Corso di Elettronica II Studente Angelo D Agnano matr. 9N/63 Progetto di un Interruttore a Combinazione Scopo del circuito proposto è

Dettagli

Capitolo IX. Convertitori di dati

Capitolo IX. Convertitori di dati Capitolo IX Convertitori di dati 9.1 Introduzione I convertitori di dati sono circuiti analogici integrati di grande importanza. L elaborazione digitale dei segnali è alternativa a quella analogica e presenta

Dettagli

PIANO DI LAVORO DEI DOCENTI

PIANO DI LAVORO DEI DOCENTI Pag. 1 di 5 Docente: Materia insegnamento: ELETTRONICA GENERALE Dipartimento: Anno scolastico: ELETTRONICA ETR Classe 1 Livello di partenza (test di ingresso, livelli rilevati) Il corso richiede conoscenze

Dettagli

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state.

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state. Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based ES-TLC 5/6 - F. ella Corte V o i i 2 2 5 5 V V o o V V 5 V

Dettagli

Programmazione dei PLC in linguaggio Ladder

Programmazione dei PLC in linguaggio Ladder Programmazione dei PLC in linguaggio Ladder Prima Parte ITI Alessandro Volta - Sassuolo Anno Scolastico 2009/2010 Linguaggio LADDER (linguaggio a contatti ) Introduzione e concetti fondamentali Il LADDER

Dettagli

I bistabili ed il register file

I bistabili ed il register file I bistabili ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano 1/32 Sommario I problemi dei latch trasparenti sincroni

Dettagli

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali

ESERCITAZIONE 4.5. Approfondimento Circuiti Logici e Sequenziali ESERCITAZIONE 4.5 Approfondimento Circuiti Logici e Sequenziali 2 Approfondimento: multiplexer 3 Multiplexer: soluzione alternativa Multiplexer: composizione interna 4 Multiplexer: soluzione alternativa

Dettagli

Università degli Studi di Cassino e del Lazio Meridionale Corso di Calcolatori Elettronici Elementi di memoria e Registri

Università degli Studi di Cassino e del Lazio Meridionale Corso di Calcolatori Elettronici Elementi di memoria e Registri di assino e del Lazio Meridionale orso di alcolatori Elettronici Elementi di memoria e Registri Anno Accademico Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario

Dettagli

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6. Prof. Rosario Cerbone

LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6. Prof. Rosario Cerbone LABORATORIO DI ARCHITETTURA DEI CALCOLATORI lezione n 6 Prof. Rosario Cerbone rosario.cerbone@uniparthenope.it http://digilander.libero.it/rosario.cerbone a.a. 2008-2009 Circuiti Sequenziali In questa

Dettagli

Clock. Corso di Architettura degli Elaboratori. Latch di tipo SR. Circuiti combinatori e sequenziali. Il livello logico digitale: Memoria

Clock. Corso di Architettura degli Elaboratori. Latch di tipo SR. Circuiti combinatori e sequenziali. Il livello logico digitale: Memoria Corso di Architettura degli Elaboratori Il livello logico digitale: Memoria Matteo Baldoni Dipartimento di Informatica Università degli Studi di Torino C.so Svizzera, 85 I-49 Torino baldoni@di.unito.it

Dettagli

I CONTATORI. Definizioni

I CONTATORI. Definizioni I CONTATORI Definizioni. I contatori sono dispositivi costituiti da uno o più flip-flop collegati fra loro in modo da effettuare il conteggio di impulsi applicati in ingresso. In pratica, i flip-flop,

Dettagli

La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI.

La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI. 1.1 - Rete di ritardo La figura 1.1 mostra la risposta di un Mosfet al segnale di controllo V CI. V ce I c 90% 90% V CI 10% 10% t on = t d(on) + t r t off = t d(off) + t r Fig. 1.1 Risposta di un Mosfet

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Reti con reazione e memoria Le funzioni logiche e le relative reti di implementazione visto fino ad ora sono note come reti combinatorie Le reti combinatorie non

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettronici RETI SEQUENZIALI : ESERCIZI Massimiliano Giacomin 1 Implementazione di contatori Un contatore è un dispositivo sequenziale che aggiorna periodicamente il suo stato secondo una regola

Dettagli

Macchine sequenziali

Macchine sequenziali Macchine sequenziali Dal circuito combinatorio al sequenziale (effetto di una retroazione) x z x j Y i, Rete Comb. Y i-, z h Y i,k M Y i-,k abilitazione a memorizzare M memorizza lo stato La nozione di

Dettagli

Contatori Elettronici frequenzimetri

Contatori Elettronici frequenzimetri Facoltà di Ingegneria Università degli Studi di Firenze Dipartimento di Elettronica e Telecomunicazioni Contatori Elettronici frequenzimetri Ing. Andrea Zanobini Dipartimento di Elettronica e Telecomunicazioni

Dettagli

SIMULATORE DI PARCHEGGIO A DUE ZONE DL 2120RM. Laboratorio di Automazione

SIMULATORE DI PARCHEGGIO A DUE ZONE DL 2120RM. Laboratorio di Automazione SIMULATORE DI PARCHEGGIO A DUE ZONE DL 2120RM Laboratorio di Automazione DL 2120RM Descrizione del modulo DL 2120RM Il modulo DL 2120RM è un unità didattica che riproduce in scala ridotta un parcheggio

Dettagli

LE MEMORIE. Prof. CAPEZIO Francesco. Quest'opera è soggetta alla licenza Creative Commons Attribuzione Non Commerciale

LE MEMORIE. Prof. CAPEZIO Francesco. Quest'opera è soggetta alla licenza Creative Commons Attribuzione Non Commerciale LE MEMORIE Prof. CAPEZIO Francesco Quest'opera è soggetta alla licenza Creative Commons Attribuzione Non Commerciale Introduzione Le memorie di un computer possono essere divise tra centrali e secondarie.

Dettagli

Memorie e contatori. Laboratorio di Architetture degli Elaboratori I Corso di Laurea in Informatica, A.A Università degli Studi di Milano

Memorie e contatori. Laboratorio di Architetture degli Elaboratori I Corso di Laurea in Informatica, A.A Università degli Studi di Milano Laboratorio di Architetture degli Elaboratori I Corso di Laurea in Informatica, A.A. 2018-2019 Università degli Studi di Milano Memorie e contatori Nicola Basilico Dipartimento di Informatica Via Comelico

Dettagli

Corso di Calcolatori Elettronici I Elementi di memoria ing. Alessandro Cilardo

Corso di Calcolatori Elettronici I Elementi di memoria ing. Alessandro Cilardo orso di alcolatori Elettronici I Elementi di memoria ing. Alessandro ilardo orso di Laurea in Ingegneria Biomedica Reti logiche con memoria In molte situazioni è necessario progettare reti logiche sequenziali,

Dettagli

Discharge. Timer 555. Threshold. Trigger

Discharge. Timer 555. Threshold. Trigger Punto a Lo schema proposto consente la misura dell umidità relativa tramite il confronto delle durate degli impulsi prodotti da due monostabili. Un monostabile produce un impulso di durata fissa, pari

Dettagli

Manuale istruzioni. Attuatore 4 uscite a relè art Manuale per l'installatore

Manuale istruzioni. Attuatore 4 uscite a relè art Manuale per l'installatore Manuale istruzioni art. 01523 Manuale per l'installatore Indice CARATTERISTICHE GENERALI E FUNZIONALITA' da pag. 5 OGGETTI DI COMUNICAZIONE E PARAMETRI ETS da pag. 6 OGGETTI DI COMUNICAZIONE CARATTERISTICHE

Dettagli

21/10/14. Contatori. Registri: contatori. Sintesi del contatore modulo 8 (1) Sintesi del contatore modulo 8 (2) J 0 = K 0 = 1 J 1 = K 1 = y 0

21/10/14. Contatori. Registri: contatori. Sintesi del contatore modulo 8 (1) Sintesi del contatore modulo 8 (2) J 0 = K 0 = 1 J 1 = K 1 = y 0 //4 Contatori Un contatore è un registro usato per contare il numero di occorrenze di un determinato evento, sempre modulo un certo numero naturale. se formato da n FF, potrà contare fino a modulo n Tipicamente,

Dettagli

CORSO BASE DI ELETTRONICA (competenze digitali)

CORSO BASE DI ELETTRONICA (competenze digitali) LICEO Scientifico LICEO Scientifico Tecnologico LICEO delle Scienze Umane ITIS (Meccanica, Meccatronica e Energia- Elettronica ed Elettrotecnica Informatica e Telecomunicazioni) ITIS Serale (Meccanica,

Dettagli

Z80 uc esecuzione di programmi

Z80 uc esecuzione di programmi Z80 uc esecuzione di programmi Per immettere un programma e farlo funzionare si deve eseguire la seguente sequenza. 1) Prendere il controllo del bus mediante l interruttore BUSREQUEST; si ha il controllo

Dettagli

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali Elettronica dei Sistemi igitali Registri di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Centralina semaforica Mod. SE68G15

Centralina semaforica Mod. SE68G15 manuale 2015.12.11 Centralina semaforica Mod. SE68G15 - SENSO UNICO ALTERNATO - - PASSAGGIO PEDONALE A PRENOTAZIONE - - ACCESSO AD UN GARAGE A PRENOTAZIONE - - SOTTOPASSO - Manuale Semaforo SE68G15.indd

Dettagli

senza stato una ed una sola

senza stato una ed una sola Reti Combinatorie Un calcolatore è costituito da circuiti digitali (hardware) che provvedono a realizzare fisicamente il calcolo. Tali circuiti digitali possono essere classificati in due classi dette

Dettagli

Gli elementi di memoria: i bistabili

Gli elementi di memoria: i bistabili Gli elementi di memoria: i bistabili Slide 1 Circuiti sequenziali Nei circuiti sequenziali il valore delle uscite in un determinato istante dipende sia dal valore degli ingressi in quello stesso istante

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali Docente teoria: prof. Federico Pedersini (https://homes.di.unimi.it/pedersini/ae-inf.html) Docente laboratorio: Matteo Re (https://homes.di.unimi.it/re/arch1-lab-2015-201.html) Sito

Dettagli

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 7

Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 7 Compito A Esercizio 1 (12 punti) Minimizzare il numero di stati dell automa qui rappresentato. Disegnare l automa minimo. S 3 1/1 0/0 S 6 S 7 S 1 S 2 S 4 S 5 0/0 1/1 Esercizio 2 (15 punti) Progettare un

Dettagli

Circuiti sequenziali e latch

Circuiti sequenziali e latch Circuiti sequenziali e latch Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento Patterson: sezioni C.7 & C.8. 1/32 Sommario

Dettagli

Circuiti antirimbalzo

Circuiti antirimbalzo Circuiti antirimbalzo La figura seguente mostra una semplice applicazione di un latch SR per l'accensione o lo spegnimento di un LED mediante due pulsanti "con ritorno": Le due resistenze R sono resistenze

Dettagli

Circuiti Sequenziali & Somma FP

Circuiti Sequenziali & Somma FP Circuiti Sequenziali & Somma FP Circuiti Sequenziali : Esercizio 1 Esercizio 1: progettare una rete sequenziale per il controllo di un motore elettrico. La rete riceve in input i segnali relativi a due

Dettagli

Informatica Industriale - A - 8

Informatica Industriale - A - 8 Informatica Industriale - A - 8 - L. Mezzalira Informatica Industriale - A - 8 prof. Lorenzo MEZZALIRA Interfacciamento con segnali analogici - Cap. 8 CATENA DI MISURA TECNICHE DI CONVERSIONE A / D ELABORAZIONI

Dettagli

Le Novità di prodotto. MOSAIC versione 3.0

Le Novità di prodotto. MOSAIC versione 3.0 MOSAIC versione 3.0 1 Le altre Novità di MOSAIC HM1 - Pannello operatore Mosaic Pannello operatore Mosaic - Versione con display alfanumerico. Permette la visualizzazione dei messaggi definiti tramite

Dettagli

MULTIFLEXI INVERTED DC

MULTIFLEXI INVERTED DC MULTIFLEXI INVERTED DC 21 26 28-36 1.Display unità interna: 1) AUTO Indicazione di funzionamento automatico 2) TIMER Indicatore di programmazione timer (si accende quando il timer è acceso) 3) PRE.-DEF

Dettagli

Interfacciamento di periferiche I/O al μp 8088

Interfacciamento di periferiche I/O al μp 8088 Interfacciamento di periferiche I/O al μp 8088 5.1 Principali segnali della CPU 8086 5.2 Periferiche di I/O e loro gestione 5.3 Collegamento di periferiche di input 5.4 Collegamento di periferiche di output

Dettagli

TCP - TIMER CICLICO PROGRAMMABILE

TCP - TIMER CICLICO PROGRAMMABILE OWNER s MANUAL MANUALE ISTRUZIONI (per firmware v. 2.0 B) TCP - TIMER CICLICO PROGRAMMABILE 2018 by Valter Narcisi www.narcisivalter.it A1 PROGRAMMABLE CYCLIC TIMER with PIC16F628A CARATTERISTICHE / FEATURES

Dettagli

ATS-I. Manuale Istruzioni REV1. Tecnoelettra srl Dal Via Vioni Dimo, S.Rocco di Guastalla (RE)

ATS-I. Manuale Istruzioni REV1. Tecnoelettra srl Dal Via Vioni Dimo, S.Rocco di Guastalla (RE) Manuale Istruzioni ATS-I REV1 Tel.+39.0522.832004 P1/32 Manuale ATS-I Fax.+39.0522.832012 Tecnoelettra_IT-EN_rev1.doc P.1/32 Indice Descrizione generale...3 Specifiche Elettriche...3 Funzionamento del

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

Non Food Dispenser MANUALE D USO DELLA SCHEDA ELETTRONICA CON LETTORE DI BADGE. Sinapsi NON-Food REV. 3 DEL 15/07/08

Non Food Dispenser MANUALE D USO DELLA SCHEDA ELETTRONICA CON LETTORE DI BADGE. Sinapsi NON-Food REV. 3 DEL 15/07/08 Non Food Dispenser MANUALE D USO DELLA SCHEDA ELETTRONICA CON LETTORE DI BADGE Sinapsi NON-Food REV. 3 DEL 15/07/08 INDICE 1. CARATTERISTICHE GENERALI... 3 2. SELEZIONE DEI MENÙ... 3 1. Lingua... 4 2.

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli