ESERCITAZIONE n.4. Contatore BCD

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "ESERCITAZIONE n.4. Contatore BCD"

Transcript

1 ESERCITAZIONE n.4 Obiettivi: - Realizzazione di una rete sequenziale: Contatore BCD. - Uso dei LogiBLOX. - Visualizzazione su un display a 7 segmenti. - L esercitazione n.4 consta di quattro fasi: Funzionamento e struttura di un contatore BCD Realizzazione dello schematico del contatore Realizzazione dello schematico complessivo da implementare Implementazione e Programmazione 4.1 Funzionamento e struttura di un contatore BCD In questa esercitazione viene realizzato un contatore BCD (Binary Coded Decimal) a 4 bit, il quale presenta come uscite la sequenza di numeri da 0 a 9, in rappresentazione binaria a 4 bit, che si ripete in modo ciclico. Il contatore BCD (Figura 1), inoltre, possiede un IN di controllo per l UP/DOWN (UD, per selezionare la modalità di contaggio), l Enable (CE) ed il Reset (RES). Il diagramma degli stati del contatore è riportato in APPENDICE 4a. UD CE RES Contatore BCD Figura 1 Contatore BCD. Q0 Q1 Q2 Q3 DIEI, Università degli Studi di Perugia 4-1

2 Il contatore BCD (Figura 2, in cui non viene riportata la logica che regola gli IN di controllo) consiste di 4 Flip Flop T in cascata ed una rete combinatoria che consente di rendere ciclico e bidirezionale il conteggio e di inserire gli IN di controllo. Gli IN dei Flip Flop sono T0, T1, T2, T3 mentre le uscite Q0, Q1, Q2, Q3; l ingresso T0 è sempre ad 1 cosicché Q0 possa commutare ad ogni passo del conteggio (infatti l LSB è 0 per i numeri pari ed 1 per quelli dispari). 1 T0 Q0 T1 Q1 T2 Q2 T3 Q3 Q3 CK Figura 2 Circuito del contatore BCD per la modalità di conteggio UP. Modalità di funzionamento: nella modalità di funzionamento UP un bit commuta quando quelli precedenti (meno significativi) sono tutti ad 1, inoltre avvengono altre commutazioni dovute alla ciclicità del conteggio. Le funzioni che descrivono il modo UP sono le seguenti: - (T0) n+1 = 1 - (T1) n+1 = Q3 Q0 - (T2) n+1 = Q1Q0 - (T3) n+1 = (Q3Q0 + Q2Q1Q0) I termini in grigio sono quelli che gestiscono le commutazioni dovute alla ciclicità. invece nella modalità DOWN un bit commuta quando tutti i precedenti sono a 0, anche in questo caso ci saranno termini aggiuntivi dovuti alla ciclicità del conteggio. Le funzioni che descrivono il modo DOWN sono le seguenti: - (T0) n+1 = 1 - (T1) n+1 = Q0 (Q3+Q2+Q1+Q0) - (T2) n+1 = Q1 Q0 (Q3+Q2+Q1+Q0) - (T3) n+1 = Q2 Q1 Q0 DIEI, Università degli Studi di Perugia 4-2

3 4.2 Realizzazione dello schematico del contatore Creare un nuovo progetto col nome ContatoreBCD, usando le stesse specifiche usate nelle esercitazioni precedenti (famiglia Spartan3, modello XC3S1000, package FT256 e speed grade -4). Per la realizzazione dello schematico indicato in Figura 3, utilizzare le porte logiche appartenenti alla libreria associata al dispositivo XC3S100. In essa sono presenti porte logiche con uno o più ingressi negati (ad esempio ANDxBy indica un AND con x ingressi, di cui y sono negati). Implementare separatamente, per ciascuno dei 4 bit, le reti combinatorie per le modalità di funzionamento UP e DOWN (come indicato per le funzioni T1 in Figura 3); vengono selezionate l una o l altra attraverso l ingresso di controllo UD (up/down). Gli ingressi di enable dei Flip Flop (CE) vengono collegati insieme ad uno I/O Marker (a cui viene dato lo stesso nome, CE). Collegare insieme in modo analogo i segnali di clock CK e quelle di reset CLR dei Flip Flop assegnando rispettivamente agli I/O Marker i nomi CK e RES. Terminata la realizzazione dello schematico generare la Macro. DIEI, Università degli Studi di Perugia 4-3

4 UD Q0 Q1 Q2 Q3 RES CE CK Figura 3 Schematico del contatore BCD (BCDC). 4.3 Realizzazione dello schematico del divisore di clock La scheda Spartan-3 Starter Kit ha un display sette segmenti a LED a quattro cifre controllati dai pin di I/O dell FPGA. Ogni cifra condivide otto segnali di controllo per accendere individualmente ogni segmento e ha un proprio ingresso di controllo per l anodo comune dei segmenti. I segnali di controllo dei LED sono multiplexati nel tempo per visualizzare i dati in tutte le quattro cifre, come riportato in Figura 4. Viene presentato il valore da visualizzare sugli ingressi di controllo dei segmenti e viene selezionata la cifra specificata portanto basso il relativo segnale di controllo all anodo. Attraverso la persistenza della visione, il cervello umano percepisce che tutte e quattro le cifre appaiono contemporaneamente, nello stesso modo in cui il cervello percepisce un immagine in uno schermo della TV. Al fine di distinguere le cifre del conteggio, si deve usare una frequenza di clock inferiore a quella minima fornita dall oscillatore (50 MHz) presente sulla Demonstration Board (Figura 5). Pertanto occorre generare un segnale di clock in ingresso al contatore mediante un blocco funzionale che funge da divisore di frequenza. Tale blocco riceve in ingresso il segnale prodotto dall oscillatore (50 MHz) e fornisce in uscita un segnale di clock alla DIEI, Università degli Studi di Perugia 4-4

5 frequenza di circa 3 Hz. Tale circuito, riportato in Figura 6, è stato realizzato mediante un Digital Clock Manager (DCM) e un divisore. (a) Figura 4 Display a sette segmenti (a) e segnali di controllo (b). (b) Il DCM in questa esercitazione viene utilizzato come semplice divisore di frequenza. La presenza del divisore in aggiunta al DCM nasce dal fatto che è necessario utilizzare una frequenza di clock inferiore a quella minima fornita dal DCM stesso, il cui valore è 50 MHz/16. Poiché il DCM fornisce un segnale di clock alla frequenza di MHz, occorre realizzare un circuito che riceve in ingresso tale segnale (DIV_IN) ed è in grado di fornire in uscita un clock ad una frequenza ridotta di 2 20 (DIV_OUT), in modo da ottenere un segnale a circa 3 Hz. Questo può essere implementato utilizzando 20 flip-flop come riportato in Figura 7. Terminata la realizzazione dello schematico generare la Macro. CLK_IN Figura 5 Xilinx Spartan-3 Starter Kit Board (Bottom Side), 14= oscillatore DIEI, Università degli Studi di Perugia 4-5

6 Figura 6 Schematico del circuito per la gestione del clock DIV_IN DIV_OUT Figura 7 Schematico del circuito divisore di frequenza (Divisore) Occorre ora realizzare lo schematico del circuito riportato in Figura 6 (ClockManager). Per fare questo è necessario inserire il blocco DCM presente tra i Symbols. Il DCM è un componente presente nell FPGA e costituisce una soluzione completa per progettare schemi DIEI, Università degli Studi di Perugia 4-6

7 di clock. Ha 3 unità funzionali: 1) Delay-LockedLoop (DLL) che serve per compensare il ritardo (skew) tra il segnale di clock in ingresso e i circuiti che lo utilizzano, 2) Sintetizzatore Digitale di Frequenza (DFS) che serve per generare segnali di clock con una frequenza M/D volte la frequenza del clock di ingresso, con M e D interi (ristretti a certi intervalli), 3) Unità di sfasamento (PS) che permette di sfasare di una frazione fissata del periodo i clock di uscita del DCM rispetto al clock di ingresso; consente anche una variazione dinamica del valore fissato dello sfasamento. Una volta introdotto il componente, cliccando con il tasto destro è possibile accedere alla finestra contenente le Object Properties (Figura 8) in cui è possibile modificare le impostazioni del circuito. In questo caso utilizziamo la modalità DLL e occorre inserire il valore 16 in CLKDV_DIVDE, poiché abbiamo bisogno di un clock (CLKDV) avente un valore di frequenza diviso per 16. Il clock di ingresso (CLKIN) viene confrontato con una sua versione ritardata. La differenza di fase tra i due controlla una linea di ritardo, che compensa lo skew ritardando i clock in uscita dal DCM finché la differenza di fase non viene annullata, la configurazione utilizzata è riportata in Figura 9. Da notare che è necessario gestire i segnali di clock mediante i buffer IBUFG e BUFG. Figura 8 Finestra per l impostazione del DCM Figura 9 Configurazione del DCM DIEI, Università degli Studi di Perugia 4-7

8 4.4 Realizzazione dello schematico complessivo da implementare Realizzare ora un circuito che consente di visualizzare sul display a sette segmenti, presente nella Demo Board (utilizzata nell ESERCITAZIONE n.3), le uscite del contatore BCD. A tal fine viene generato un nuovo schematico (Figura 10, TopModule). AN0 AN1 AN2 AN3 DP CLK ResClk UD CE RES A1D B1D C1D D1D E1D F1D G1D Figura 10 Schematico complessivo del TopModule. Per pilotare con le uscite del contatore un display a sette segmenti, occorre un decoder (blocco DecDis1). Tale decoder si trova seguendo il percorso Risorse di rete Tutta la rete Rete di Microsoft Windows Aulaing Labdom SEE Eercitazione3 Librerie indicato con il nome DecDis1 (schematico in APPENDICE 4b). Bisogna quindi copiare lo schematico che implementa il Display. A tal scopo utilizzando il tasto destro sopra il nome del progetto in Hierarchy selezionare Add Copy of Source. Poiché in questo caso si hanno 4 bit per pilotare il display (ovvero si desiderano solo numeri da 0 a 9), l ingresso SS(4) del blocco DecDis1 (che corrisponde al bit più significativo) deve essere sempre uguale a 0 e pertanto viene connesso a massa (blocco GND). Completare la realizzazione dello schematico aggiungendo i buffer di ingresso e uscita. Dopo aver verificato l assenza di errori tramite il Check Design Rules occorre individuare la corrispondenza tra I/O Marker e pin del FPGA. Per quanto concerne il diplay a 7 segmenti, occorre osservare che la board ne mette a disposizione 4 mentre per lo svolgimento di questa esercitazione ne occorre uno soltanto. Pertanto occorre anche disabilitare i display inutilizzati e il punto decimale (dp) che non viene utilizzato in questa esercitazione (i relativi segnali sono infatti collegati tutti a Vcc). DIEI, Università degli Studi di Perugia 4-8

9 Figura 11 Controllo digitale dei display a 7 segmenti A tal scopo è necessario generare il file UCF che riporta gli User Constraints come descritto nella Esercitazione n.3. Pertanto: NET "AN0" LOC = D14; # AN0 NET "AN1" LOC = G14; # AN1 NET "AN2" LOC = F14; # AN2 NET "AN3" LOC = E13; # AN3 NET "DP" LOC = P16; # LD4 NET "UD" LOC = F12; # SW0 NET "CE" LOC = G12; # SW1 NET "RES" LOC = H14; # SW2 NET "Clk" LOC = T9; # PIN QUARZO NET "ResClk" LOC = M13; #push button BTN0 NET "A1D" LOC = E14; # A1 NET "B1D" LOC = G13; # B1 NET "C1D" LOC = N15; # C1 NET "D1D" LOC = P15; # D1 NET "E1D" LOC = R16; # E1 NET "F1D" LOC = F13; # F1 NET "G1D" LOC = N16; # G1 Dal menu Design nella finestra Processes è possibile accedere a User Constraints e utilizzare il pacchetto software PlanAhead. Effettuando un doppio click su I/O Planning Pre/Post Synthesis è possibile gestire tramite finestre grafiche l I/O del progetto. DIEI, Università degli Studi di Perugia 4-9

10 Figura 12 Dettaglio del menù Design In particolare è anche possibile verificare oppure cambiare la posizione dei pin del FPGA individuati tramite il file UCF. È possibile inoltre scegliere le caratteristiche elettriche della logica coinvolta (ad es lo standard elettrico dell I/O). Figura 13 Dettaglio del PlanAhead Tale pacchetto software consente di gestire anche i vincoli di progettazione relativi alle temporizzazoni. Osservazione: Vengono segnalati warning, dovuti al fatto che alcuni pin del DCM non sono connessi ma questo crea problemi in quanto è possibile utilizzare anche una sola uscita di tale blocco. È possibile eseguire una simulazione funzionale per verificare il corretto funzionamento logico del circuito pilota del display a 7 segmenti, assegnando agli IN di controllo (UD, RES, CE e ResClk) segnali costanti mediante Force Constant. Per il segnale di clock (CK) nel menù selezionare Force Clock e impostare come Period 20ns. DIEI, Università degli Studi di Perugia 4-10

11 4.5 Implementazione Bisogna ora eseguire l Implementazione dello schematico (seguendo i passi già illustrati nell ESERCITAZIONE n.3), per creare il Bitstream necessario alla programmazione dell FPGA. Nella precedente Esercitazione, l implementazione è stata eseguita come una sola operazione. È possibile invece eseguire anche singolarmente i singoli passi. Infatti espandendo il menù Implement Design si possono evidenziare i sottomenù Translate, Map, Place&Route. Ciascuno di questi può essere attivato manualmente e soltanto se il precedente passo è stato concluso con successo (è presente un check verde accanto al nome del passo). In particolare in seguito al termine di Translate è possibile generare mediante un doppio click un Post Translate Simulation Model. Nel corso del Map si può: generare un Post Map Static Timing, realizzare un Manually Place & Route, generare un Post Map Simulation Model. Si osservi che l operazione di Manually Place & Route attiva un altro pacchetto Software che si chiama FPGA Editor. Tale pacchetto consente al progettista di compiere operazioni manuali sia di place che di routing dei segnali. Figura 14 Finestra Xilinx FPGA editor Infine l ultimo passo è rappresentato dal Place & Route. Anche esso può essere attivato manualmente con un doppio click, consta di diversi passi distinti che possono essere eseguiti singolarmente (quelli evidenziati con le frecce blu) e mette a disposizione alcuni pacchetti software utili (PlanAhead, FPGA Editor, XPowerAnalizer) per capire/correggere le prestazioni del circuito implementato. DIEI, Università degli Studi di Perugia 4-11

12 Pacchetti Software Figura 15 Menù Place & Route L ultimo operazione da compiere consiste nella creazione della bitstream con un doppio click su Generate Programmin File. 4.6 Programmazione Per effettuare la programmazione seguire i passi riportati nella precedente esercitazione. Eseguire delle prove commutando gli switch SW e il pulsante BTN0, presenti nella Demoboard e indicati dalla in Figura 16: SW0 (UD, up/down, up = ON, down = OFF); SW1 (RES, reset); SW2 (EN, enable); BTN0 (ResClk). I valori del conteggio vengono visualizzati mediante la prima cifra del display a 7 segmenti. BTN0 (ResClk) SW2 SW1 SW0 (RES) (CE) (UD) Figura 16 Xilinx FPGA Demonstration Board. DIEI, Università degli Studi di Perugia 4-12

13 APPENDICE 4a DIEI, Università degli Studi di Perugia 4-13

14 Diagramma degli stati del contatore BCD Ingressi : UD, CE, RES Uscite/Stati: Q3, Q2, Q1, Q DIEI, Università degli Studi di Perugia 4-14

15 APPENDICE 4b DIEI, Università degli Studi di Perugia 4-15

16 Schematici delle Macro Figura 4b.1 Macro DecDis1 DIEI, Università degli Studi di Perugia 4-16

Esercitazione di laboratorio n. 2

Esercitazione di laboratorio n. 2 Esercitazione di laboratorio n. 2 Argomento dell esercitazione Progetto di circuiti combinatori. L esercitazione è composta di tre esercizi: progetto di un Full Adder da 1 bit (esercizio 1), e suo riutilizzo

Dettagli

ESERCITAZIONE n.3. Obiettivi: - Misura dei ritardi in un Carry-LookAhead Adder. - Implementazione di uno schematico. - Programmazione dell FPGA.

ESERCITAZIONE n.3. Obiettivi: - Misura dei ritardi in un Carry-LookAhead Adder. - Implementazione di uno schematico. - Programmazione dell FPGA. ESERCITAZIONE n.3 Obiettivi: - Misura dei ritardi in un Carry-LookAhead Adder. - Implementazione di uno schematico. - Programmazione dell FPGA. L esercitazione n.3 consta di cinque fasi: Funzionamento

Dettagli

Contatore asincrono esadecimale

Contatore asincrono esadecimale Contatore asincrono esadecimale Il contatore asincrono è un circuito composto da un generatore di onde quadre (clock), quattro Flip Flop JK con Preset e Clear attivi a fronte logico basso. Preset, J e

Dettagli

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO

Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Esercitazione : REALIZZAZIONE IMPIANTO SEMAFORICO Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max

Dettagli

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici

Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Laboratorio di Architettura degli Elaboratori A.A. 2016/17 Circuiti Logici Per ogni lezione, sintetizzare i circuiti combinatori o sequenziali che soddisfino le specifiche date e quindi implementarli e

Dettagli

Esercitazioni di Reti Logiche. Lezione 4

Esercitazioni di Reti Logiche. Lezione 4 Esercitazioni di Reti Logiche Lezione 4 Progettazione dei circuiti logici combinatori Zeynep KIZILTAN zkiziltan@deis.unibo.it Argomenti Procedura di analisi dei circuiti combinatori. Procedura di sintesi

Dettagli

Xilinx ISE Tutorial File -> New Project...

Xilinx ISE Tutorial File -> New Project... Xilinx ISE Tutorial L obiettivo di questo tutorial è il mappaggio di una semplice porta logica AND su una particolare FPGA, la Xilinx Spartan 3E, integrata nella board Digilent Nexys 2 500K, che utilizzeremo

Dettagli

CIRCUIT MAKER. Il laboratorio Virtuale di Elettronica. Utilità: Progettazione di circuiti. Esecuzione di simulazioni

CIRCUIT MAKER. Il laboratorio Virtuale di Elettronica. Utilità: Progettazione di circuiti. Esecuzione di simulazioni CIRCUIT MAKER Il laboratorio Virtuale di Elettronica Utilità: Progettazione di circuiti Esecuzione di simulazioni 1 La barra dei comandi 1. Crea un nuovo schema Apre uno schema esistente Salva lo schema

Dettagli

Esercitazione n 2. Problema

Esercitazione n 2. Problema Esercitazione n 2 Lo scopo dell esercitazione è di imparare a creare un semplice disegno con lo Schematic Editor riuscendo a simularne il comportamento con il tool ModelSim. Problema Realizzare mediante

Dettagli

Una volta inseriti tutti i parametri necessari premere.

Una volta inseriti tutti i parametri necessari premere. Esercitazione n 1 Questa esercitazione guidata ha lo scopo di mostrare come creare un semplice progetto per pilotare l accensione e lo spegnimento di un led attraverso uno degli switch della XSA board.

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Combinatorie & Complementi sulle Reti Combinatorie Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico

Dettagli

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA.

Esercizio 1. Utilizzare FF di tipo D (come ovvio dalla figura, sensibili al fronte di discesa del clock). Progettare il circuito con un PLA. a Esercizio 1. Sintetizzare un circuito sequenziale sincrono in base alle specifiche temporali riportate nel seguito. Il circuito riceve in input solo il segnale di temporizzazione (CK) e produce tre uscite,

Dettagli

Esercizio 1. semaforo verde semaforo rosso T V1 VG 1. semaforo verde-giallo semaforo rosso T G V 2. semaforo rosso semaforo verde T V2 VG 2

Esercizio 1. semaforo verde semaforo rosso T V1 VG 1. semaforo verde-giallo semaforo rosso T G V 2. semaforo rosso semaforo verde T V2 VG 2 Esercizio 1 Il sistema di controllo di un impianto semaforico posto all incrocio di due strade deve operare secondo due distinte modalità di funzionamento, selezionate rispettivamente dal valore logico

Dettagli

UNIVERSITA DEGLI STUDI DI CATANIA

UNIVERSITA DEGLI STUDI DI CATANIA UNIVERSITA DEGLI STUDI DI CATANIA FACOLTA DI INGEGNERIA CORSO DI LAUREA SPECIALISTICA IN INGEGNERIA INFORMATICA Progetto di Sistemi Embedded A.A. 2006/07 Implementazione in VHDL e successivo mapping su

Dettagli

Guida pratica all attivazione della componente applet per la firma digitale interna al portale VestaNET

Guida pratica all attivazione della componente applet per la firma digitale interna al portale VestaNET Guida pratica all attivazione della componente applet per la firma digitale interna al portale Aggiornamento al 09/02/2017 È stato introdotto il paragrafo di appendice, realizzato con la preziosa collaborazione

Dettagli

Metronomo. Progettare l hardware del metronomo, utilizzando come base dei tempi un modulo generatore di clock a 10 MHz. Fig. 1. Fig. 2.

Metronomo. Progettare l hardware del metronomo, utilizzando come base dei tempi un modulo generatore di clock a 10 MHz. Fig. 1. Fig. 2. Metronomo Un metronomo elettronico (Fig. 1) accetta in ingresso tre cifre decimali codificate in BCD per la programmazione del numero di da 16 a 299, e produce in, come, un treno di 8 impulsi spaziati

Dettagli

Windows. La prima realizzazione di un ambiente grafico si deve alla Apple (1984) per il suo Macintosh. La gestione dei file conserva la logica del DOS

Windows. La prima realizzazione di un ambiente grafico si deve alla Apple (1984) per il suo Macintosh. La gestione dei file conserva la logica del DOS Windows La prima realizzazione di un ambiente grafico si deve alla Apple (1984) per il suo Macintosh La gestione dei file conserva la logica del DOS Funzionalità di un S.O. Gestione dei file Gestione dei

Dettagli

Esercitazione sul programma XILINX ISE 4.2i.

Esercitazione sul programma XILINX ISE 4.2i. Esercitazione sul programma XILINX ISE 4.2i. Obbiettivo di questa esercitazione è fornire una panoramica del pacchetto di sviluppo ISE 4.2i della Xilinx. Nel prosieguo saranno descritti i passi base di

Dettagli

Creazione di un flusso audio base tra dispositivi Barix

Creazione di un flusso audio base tra dispositivi Barix Creazione di un flusso audio base tra dispositivi Barix GUIDA RAPIDA Introduzione L obiettivo di questa guida rapida è la creazione di un semplice flusso audio (stereo e mono direzionale) tra due dispositivi

Dettagli

Circuiti sequenziali. Circuiti sequenziali e applicazioni

Circuiti sequenziali. Circuiti sequenziali e applicazioni Circuiti sequenziali Circuiti sequenziali e applicazioni Circuiti sequenziali Prima di poter parlare delle memorie è utile dare un accenno ai circuiti sequenziali. Per circuiti sequenziali intendiamo tutti

Dettagli

Progetto di un Interruttore a Combinazione

Progetto di un Interruttore a Combinazione Università di Lecce Diploma Universitario in Ingegneria Informatica Corso di Elettronica II Studente Angelo D Agnano matr. 9N/63 Progetto di un Interruttore a Combinazione Scopo del circuito proposto è

Dettagli

Misure di frequenza e di tempo

Misure di frequenza e di tempo Misure basate sul conteggio di impulsi Misure di frequenza e di tempo - 1 Misure di frequenza e di tempo 1 - Contatori universali Schemi e circuiti di riferimento Per la misura di frequenza e di intervalli

Dettagli

Anno scolastico Supervisore Prof. Giancarlo Fionda Insegnante di Elettronica

Anno scolastico Supervisore Prof. Giancarlo Fionda Insegnante di Elettronica A cura dell alunna Martina Covelli della classe IV sez. A Indirizzo Informatica Sperimentazione ABACUS Dell Istituto Tecnico Industriale Statele A. Monaco di Cosenza Supervisore Prof. Giancarlo Fionda

Dettagli

Reti logiche (2) Circuiti sequenziali

Reti logiche (2) Circuiti sequenziali Reti logiche (2) Circuiti sequenziali 1 Un ripasso Algebra booleana: operatori, postulati, identità, operatori funzionalmente completi Circuiti combinatori: tabelle di verità, porte logiche Decodificatore

Dettagli

Topward electronics TPS ALTERA Max EPM7128SLC84-15

Topward electronics TPS ALTERA Max EPM7128SLC84-15 Esercitazione : CONVERTITORE A/D Strumenti utilizzati Strumento Marca e modello Caratteristiche Alimentatore Scheda ALTERA Fotocamera digitale Topward electronics TPS- 4000 ALTERA Max EPM7128SLC84-15 Olympus

Dettagli

Esercitazione 2 Introduzione a GATESIM

Esercitazione 2 Introduzione a GATESIM Esercitazione 2 Introduzione a GATESIM Gatesim (Logic Gate Simulator) è un simulatore di circuiti logici scritto in in C#/WPF (.NET 3.5 SP1) che permette di creare e simulare semplici circuiti costituiti

Dettagli

PSPICE simulazione codificatori e decodificatori, MUX - DEMUX

PSPICE simulazione codificatori e decodificatori, MUX - DEMUX PSPICE simulazione codificatori e decodificatori, MUX - DEMUX Davide Piccolo Elaboratori 1 Per le dispense delle lezioni: http://people.na.infn.it/~piccolo/lezionilaboratorio Elaboratori 2 Il circuito

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequenziali sincrone ESERCIZIO N. Si esegua la sintesi di una rete sequenziale sincrona caratterizzata da un unico segnale di ingresso (X) e da un unico segnale di uscita (Z),

Dettagli

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per:

Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: INTRODUZIONE AI CONTATORI Introduzione I contatori sono dispositivi fondamentali nell elettronica digitale e sono utilizzati per: o Conteggio di eventi o Divisione di frequenza o Temporizzazioni Principi

Dettagli

POLITECNICO DI BARI! DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE!

POLITECNICO DI BARI! DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE! POLITECNICO DI BARI DIPARTIMENTO DI INGEGNERIA ELETTRICA E DELL INFORMAZIONE Ingegneria Informatica e dell Automazione FONDAMENTI DI ELETTRONICA - SECONDA PROVA DI LABORATORIO Prof. Daniela De Venuto Strumentazione

Dettagli

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita

LOGICA SEQUENZIALE. Un blocco di logica puramente combinatoria è un. blocco con N variabili di ingresso e M variabili di uscita LOGICA SEQUENZIALE Logica combinatoria Un blocco di logica puramente combinatoria è un blocco con N variabili di ingresso e M variabili di uscita che sono funzione (booleana) degli ingressi in un certo

Dettagli

I.P.S.I.A. Di BOCCHIGLIERO. ----Misure sui converitori A/D---- Materia: Elettronica, Telecomunicazioni ed applicazioni. prof. Ing.

I.P.S.I.A. Di BOCCHIGLIERO. ----Misure sui converitori A/D---- Materia: Elettronica, Telecomunicazioni ed applicazioni. prof. Ing. I.P.S.I.A. Di BOCCHIGLIERO a.s. 2011/2012 -classe IV- Materia: Elettronica, Telecomunicazioni ed applicazioni ----Misure sui converitori A/D---- Alunna: Lautieri Mariacaterina prof. Ing. Zumpano Luigi

Dettagli

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Progetto di Contatori sincroni. Mariagiovanna Sami Corso di reti Logiche 8 Anno Progetto di Contatori sincroni Mariagiovanna Sami Corso di reti Logiche 8 Anno 08 Introduzione Per le reti sequenziali esistono metodologie di progettazione generali, che partendo da una specifica a parole

Dettagli

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI

INTRODUZIONE ALLE LOGICHE PROGRAMMABILI INTRODUZIONE ALLE LOGICHE PROGRAMMABILI TEMA: L DESCRIZIONE: Introduzione al linguaggio VHDL per la descrizione dell hardware e sintesi di un circuito logico. LUOGO: Laboratori Nazionali di Legnaro (PD)

Dettagli

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro

TUTORIAL 3. Realizzazione di un contatore su scheda XSA50. A cura di De Pin Alessandro TUTORIAL 3 Realizzazione di un contatore su scheda XSA50 A cura di De Pin Alessandro 1 Problema proposto In questo tutorial ci si propone di realizzare un contatore che, associato ad un display a sette

Dettagli

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore

PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore PSPICE simulazione di circuiti digitali Flip Flop M/S, Moltiplicatore parallelo, Memoria SRAM, sommatore, comparatore Laboratorio di Architettura degli Elaboratori - A.A. 24/25 Il flip flop di tipo Master/Slave

Dettagli

Regolazione della risoluzione dello schermo

Regolazione della risoluzione dello schermo Regolazione della risoluzione dello schermo A causa della natura della tecnologia dei liquid crystal display (LCD), la risoluzione della figura è sempre fissa. Per prestazioni di visualizzazione migliori,

Dettagli

Orcad Capture è un potente ambiente di disegno elettronico. Esploriamo alcune delle

Orcad Capture è un potente ambiente di disegno elettronico. Esploriamo alcune delle Orcad Capture è un potente ambiente di disegno elettronico. Esploriamo alcune delle caratteristiche di Capture. Quando facciamo partire Capture appare la videata della sessione di lavoro. Da questa sessione

Dettagli

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione.

I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. I circuiti dei calcolatori, le memorie, i bus. I fondamenti della rappresentazione dell informazione e della sua trasmissione ed elaborazione. Dispensina per gli studenti di Ingegneria Gestionale. A.A.

Dettagli

Le sintonie elettroniche per l ATV

Le sintonie elettroniche per l ATV Le sintonie elettroniche per l ATV II Meeting ATV Magenta 18/04/2004 IW2LFD Luciano Arrigoni iw2lfd@libero.it http://digilander.libero.it/iw2lfd A.R.I. Sezione di Gallarate IQ2GM - http://www.qsl.net/iq2gm/

Dettagli

La distribuzione dei clock in un sistema digitale

La distribuzione dei clock in un sistema digitale Clk1(t+τ1) C1 Clki(t+τi) Ci clk Clock Gen Clk(t) Clkn(t+τn) Cn clk1 clki clkn τ1 τi τn Figura 0.1: Distribuzione clock e ritardi per Skew La distribuzione dei clock in un sistema digitale La distribuzione

Dettagli

PROGETTO E VERIFICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON FLIP-FLOP JK.

PROGETTO E VERIFICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON FLIP-FLOP JK. PROGETTO E VERIICA DI UNA RETE LOGICA SEQUENZIALE ASINCRONA CON PORTE LOGICHE. REALIZZAZIONE DELLA STESSA CON LATCH SR E D, ECON LIP-LOP JK. Definizione della funzione logica Ci proponiamo la realizzazione

Dettagli

GENERAZIONE DI FUNZIONE LOGICA CON MULTIPLEXER

GENERAZIONE DI FUNZIONE LOGICA CON MULTIPLEXER GENERAZIONE I UNZIONE LOGICA CON MULTIPLEXER Spesso è conveniente utilizzare un multiplexer come generatore di funzione logica al fine di limitare il numero di circuiti integrati che bisognerebbe, altrimenti,

Dettagli

MANUALE PER l INSERIMENTO DEI VOTI PROPOSTI CON SISSIWEB

MANUALE PER l INSERIMENTO DEI VOTI PROPOSTI CON SISSIWEB MANUALE PER l INSERIMENTO DEI VOTI PROPOSTI CON SISSIWEB 1. Digitare l indirizzo web: http://www.edeamicis.com Sulla pagina principale cliccare su ENTRA NEL SITO 2. Selezionare il link e cliccare su Area

Dettagli

DMX LIGHTPLAYER Introduzione...2 Libreria DMX...3 Programmazione dei canali...7 Creazione di uno show...11

DMX LIGHTPLAYER Introduzione...2 Libreria DMX...3 Programmazione dei canali...7 Creazione di uno show...11 DMX LIGHTPLAYER Introduzione...2 Libreria DMX...3 Programmazione dei canali...7 Creazione di uno show...11 Introduzione Il software DMX LightPlayer è semplice da utilizzare. Possibilità di importare un

Dettagli

ESEMPIO QUATTRO: TRAPANO COMPLESSO

ESEMPIO QUATTRO: TRAPANO COMPLESSO ESEMPIO QUATTRO: TRAPANO COMPLESSO Tecnica basata sulla macchina a stati Il sistema da analizzare è costituito da un trapano che deve effettuare un foro su un pezzo posizionato alla base del sistema. Il

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali - I circuiti sequenziali sono caratterizzati dal fatto che, in un dato istante tn+1 le uscite dipendono dai livelli logici di ingresso nell'istante tn+1 ma anche dagli stati assunti

Dettagli

Applicazioni dei microcontrollori PIC

Applicazioni dei microcontrollori PIC Applicazioni dei microcontrollori PIC In questa unità vengono esposte le modalità di realizzazione di un circuito hardware con il microcontroller PIC16C84 (o PIC16F84), per la prova dei programmi presentati

Dettagli

Esercitazione 4: Sintetizzatore di frequenza con PLL

Esercitazione 4: Sintetizzatore di frequenza con PLL Esercitazione 4: Sintetizzatore di frequenza con PLL 1. Informazioni generali 1.1 Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Verificare il comportamento di un PLL - Determinare

Dettagli

I CONTATORI. Definizioni

I CONTATORI. Definizioni I CONTATORI Definizioni. I contatori sono dispositivi costituiti da uno o più flip-flop collegati fra loro in modo da effettuare il conteggio di impulsi applicati in ingresso. In pratica, i flip-flop,

Dettagli

Esercitazioni di Reti Logiche. Lezione 5

Esercitazioni di Reti Logiche. Lezione 5 Esercitazioni di Reti Logiche Lezione 5 Circuiti Sequenziali Zeynep KIZILTAN zeynep@cs.unibo.it Argomenti Circuiti sequenziali Flip-flop D, JK Analisi dei circuiti sequenziali Progettazione dei circuiti

Dettagli

orologio e display cont 16

orologio e display cont 16 Tocci giovanni orologio e display obiettivo: costruzione di un orologio che sappia tenere in conto minuti e secondi. specifiche di progetto: Il nostro orologio, sarà costituito da : divisore di frequenza.

Dettagli

FONDAMENTI DI INFORMATICA Lezione n. 7. Esercizi di progetto di circuiti sequenziali

FONDAMENTI DI INFORMATICA Lezione n. 7. Esercizi di progetto di circuiti sequenziali FONDAMENTI DI INFORMATICA Lezione n. 7 Esercizi di progetto di circuiti sequenziali 1 / 17 RIEPILOGO TEORICO CIRCUITI SEQUENZIALI: le uscite dipendono non solo dagli ingressi, ma anche dallo stato interno

Dettagli

Manuale del Termostato TACTO. v 2

Manuale del Termostato TACTO. v 2 Manuale del Termostato TACTO v 2 Indice 1 Tipi di termostati (Maestro & Zona).... 2 2 Tasti e icone del termostato... 3 3 Modi di funzionamento.... 4 3.1 Descrizione dei modi di funzionamento... 4 STOP...

Dettagli

Esercizi Logica Digitale,Circuiti e Bus

Esercizi Logica Digitale,Circuiti e Bus Esercizi Logica Digitale,Circuiti e Bus Alessandro A. Nacci alessandro.nacci@polimi.it ACSO 214/214 1 2 Esercizio 1 Si consideri la funzione booleana di 3 variabili G(a,b, c) espressa dall equazione seguente:

Dettagli

PROCEDURA D INSTALLAZIONE DEL SOFTWARE DELLA CARTA TS-CNS. OBERTHUR su Windows 32 bit e 65 bit

PROCEDURA D INSTALLAZIONE DEL SOFTWARE DELLA CARTA TS-CNS. OBERTHUR su Windows 32 bit e 65 bit PROCEDURA D INSTALLAZIONE DEL SOFTWARE DELLA CARTA TS-CNS OBERTHUR su Windows 32 bit e 65 bit INDICE Introduzione alla procedura d installazione del software della carta TS-CNS... 3 Installazione Software

Dettagli

TAVOLE DI SVILUPPO DI KUNO BELLER

TAVOLE DI SVILUPPO DI KUNO BELLER TAVOLE DI SVILUPPO DI KUNO BELLER Sommario 1. Accesso al programma... 2 2. Gestione anagrafiche... 3 2.1 Anagrafica nido... 3 2.2 Anagrafica educatrici... 4 2.3 Anagrafica bimbi... 4 2.4 Gestione ruoli...

Dettagli

Servizio Conservazione No Problem

Servizio Conservazione No Problem Servizio Conservazione No Problem Guida alla conservazione del Registro di Protocollo Versione 1.0 13 Ottobre 2015 Sommario 1. Accesso all applicazione web... 3 1.1 Autenticazione... 3 2. Conservazione

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Esercitazione 2 I Flip Flop 1. ual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano

Dettagli

ISTRUZIONI PROGRAMMAZIONE 14445

ISTRUZIONI PROGRAMMAZIONE 14445 ISTRUZIONI PROGRAMMAZIONE 14445 Per impostare il crontermostato elettronico, dalla videata base del display, premere uno qualsiasi dei quattro tasti frontali. Vengono visualizzate le icone: - Esc (tasto

Dettagli

Manuale di installazione

Manuale di installazione Manuale di installazione Manuale di installazione Riello Power Tools v. 2.2 Versione aggiornata Luglio, 2014 Pagina 1 Sommario 1-BENVENUTO!... 3 2-REQUISITI MINIMI COMPUTER... 3 3-NOTE PRELIMINARI... 3

Dettagli

Configurazione della postazione di lavoro per il corretto funzionamento della crittografia

Configurazione della postazione di lavoro per il corretto funzionamento della crittografia Configurazione della postazione di lavoro per il corretto funzionamento della crittografia Sommario Requisiti Minimi Crittografia Snam... 2 Verifica dei Certificati... 2 Installazione... 2 Verifica certificato...

Dettagli

RM-LVR1. Live-View Remote

RM-LVR1. Live-View Remote Live-View Remote RM-LVR1 Il presente manuale è un supplemento alle Istruzioni per l uso dell unità. Presenta alcune funzioni aggiuntive o modificate e ne descrive il funzionamento. Consultare anche le

Dettagli

Schermata riprodotta con l autorizzazione di Microsoft Corporation.

Schermata riprodotta con l autorizzazione di Microsoft Corporation. Avvio rapido Prefazione P2WW-2600-01AL Grazie per aver acquistato Rack2-Filer! Rack2-Filer è un applicazione che consente di gestire e visualizzare i dati dei documenti sul computer. Questa guida rapida

Dettagli

Le presenti note si riferiscono esclusivamente alla procedura di installazione e di aggiornamento di Planet HR.

Le presenti note si riferiscono esclusivamente alla procedura di installazione e di aggiornamento di Planet HR. Planet HR: note per l aggiornamento e l installazione (Pagina 1:9) Premessa Le presenti note si riferiscono esclusivamente alla procedura di installazione e di aggiornamento di Planet HR. Accedere al sistema

Dettagli

Certificati con Timbro Digitale. Manuale per l installazione e l uso del software DECODER 2D-PLUS per la verifica dei certificati emessi

Certificati con Timbro Digitale. Manuale per l installazione e l uso del software DECODER 2D-PLUS per la verifica dei certificati emessi Certificati con Timbro Digitale Manuale per l installazione e l uso del software DECODER 2D-PLUS per la verifica dei certificati emessi 3 Indice 1. Cos è un certificato timbrato digitalmente... 3 2. Software

Dettagli

Reti Logiche Combinatorie

Reti Logiche Combinatorie Reti Logiche Combinatorie Modulo 4 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Microelettronica e Bioingegneria (EOLAB) Logica combinatoria Un blocco di logica

Dettagli

Manuale WAGO DALI e morsetti V 0.1

Manuale WAGO DALI e morsetti V 0.1 & Manuale WAGO DALI e morsetti V 0.1 Settembre 2011 Tutti le descrizioni legati al software si riferiscono al software mygekko living B2247. Si consiglia di aggiornare le vecchie versioni del sistema.

Dettagli

Contatori Elettronici frequenzimetri

Contatori Elettronici frequenzimetri Facoltà di Ingegneria Università degli Studi di Firenze Dipartimento di Elettronica e Telecomunicazioni Contatori Elettronici frequenzimetri Ing. Andrea Zanobini Dipartimento di Elettronica e Telecomunicazioni

Dettagli

Aggiornamento dei dati dell obiettivo per il controllo distorsione

Aggiornamento dei dati dell obiettivo per il controllo distorsione Aggiornamento dei dati dell obiettivo per il controllo distorsione Grazie per avere acquistato un prodotto Nikon. In questa guida viene descritto come eseguire l aggiornamento dei dati dell obiettivo per

Dettagli

AiM Manuale Utente. Race Studio 3 Track Manager. Versione 1.00

AiM Manuale Utente. Race Studio 3 Track Manager. Versione 1.00 AiM Manuale Utente Race Studio 3 Track Manager Versione 1.00 1 Introduzione Track Manager è la sezione del software Race Studio 3 dedicata alla gestione delle piste. Qui è possibile creare nuove piste,

Dettagli

Sistema operativo Mac OS X

Sistema operativo Mac OS X Attività operative Personalizzare la scrivania. La personalizzazione viene attivata direttamente dal Dock facendo clic sull icona Preferenze di sistema. MODALITÀ ALTERNATIVA 1 Scrivania e salvaschermo.

Dettagli

Insert > Object > Chart

Insert > Object > Chart Grafici Calc mette a disposizione un Wizard per creare diagrammi o grafici dai dati del foglio di calcolo (Insert > Object > Chart oppure icona in standard toolbar) Dopo che il grafico è stato creato come

Dettagli

Alimentatore master. Introduzione

Alimentatore master. Introduzione Alimentatore master Introduzione L alimentatore master è un controllore programmabile che permette all utente di sviluppare sequenze per i convertitori REEL dei tunnel, gestire I/O digitali e analogici

Dettagli

Scheda Didattica di Sperimentazione.

Scheda Didattica di Sperimentazione. Corso di BASCOM 8051 - (Capitolo 4 6 ) Corso Teorico/Pratico di programmazione in BASCOM 8051. Autore: DAMINO Salvatore. Scheda Didattica di Sperimentazione. La DEB 01 (Didactics Experimental Board) è

Dettagli

Pianificazione e creazione di comunità

Pianificazione e creazione di comunità CAPITOLO 4 Pianificazione e creazione di comunità Questo capitolo fornisce i concetti e le procedure per la pianificazione e la creazione di comunità mediante l uso di Network Assistant. Per informazioni

Dettagli

Laboratorio di Progettazione Elettronica Esercitazione 1

Laboratorio di Progettazione Elettronica Esercitazione 1 Laboratorio di Progettazione Elettronica Esercitazione 1 Esercizio 1: Progettare un amplificatore operazionale in configurazione invertente come rappresentato in Figura 1. Utilizzare l ampificatore operazionale

Dettagli

Misure di frequenza e di tempo

Misure di frequenza e di tempo Misure basate sul conteggio di impulsi Misure di frequenza e di tempo - 1 Misure di frequenza e di tempo 1 - Contatori universali Schemi e circuiti di riferimento Per la misura di frequenza e di intervalli

Dettagli

TUTORIAL 1. Gestione di periferiche di I/O su scheda XSA50. A cura di De Pin Alessandro

TUTORIAL 1. Gestione di periferiche di I/O su scheda XSA50. A cura di De Pin Alessandro TUTORIAL 1 Gestione di periferiche di I/O su scheda XSA50 A cura di De Pin Alessandro 1 Introduzione L intento di questo tutorial è quello di illustrare un primo semplice esempio di creazione di un progetto

Dettagli

Collaborative Learning con Microsoft Power Point

Collaborative Learning con Microsoft Power Point MICROSOFT MOUSE MISCHIEF (BETA) Software per lo sviluppo di applicazioni collaborative Collaborative Learning con Microsoft Power Point Febbraio 2010 Francesco Procida Via G. Di Vittorio, 2 71044 Margherita

Dettagli

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome

ESAME di PROGETTAZIONE di SISTEMI DIGITALI. Nome e Cognome ESAME di PROGETTAZIONE di SISTEMI DIGITALI 11 Febbraio 2016 FILA A Nome e Cognome Esercizio 1 (6 punti). Si considerino otto registri R 1... R 8. Si progetti una rete di interconnessione tale che: se R

Dettagli

Creazione macchina virtuale con WMware Player

Creazione macchina virtuale con WMware Player Creazione macchina virtuale con WMware Player Con il motore di virtualizzazione VMware Player sono supportati tutti i modelli di chiavi USB di abilitazione dei nostri programmi: Eutron Smartkey USB Eutron

Dettagli

Materiale didattico > Uso delle basette per montaggi senza saldature

Materiale didattico > Uso delle basette per montaggi senza saldature Esercitazione 3 Convertitore D/A e A/D con rete di peso Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Verificare il funzionamento di un convertitore D/A a 4 bit, - Individuare

Dettagli

Codici Dinamici - DYAMA

Codici Dinamici - DYAMA Codici Dinamici - DYAMA Indice Funzionamento Utilizzo Diagramma sequenza operazioni di apertura Sistemi che supportano i Codici Dinamici Software Dyama Software Dyama - Creazione database Software Dyama

Dettagli

UnivAQ - Corso ECDL - Elaborazione Testi Maria Maddalena Fornari

UnivAQ - Corso ECDL - Elaborazione Testi Maria Maddalena Fornari Università degli Studi dell Aquila Corso ECDL programma START Modulo 3 - Elaborazione Testi 3.5 Stampa unione Maria Maddalena Fornari Stampa Unione Processo che permette di unire dati provenienti da tipi

Dettagli

Esercitazioni di Reti Logiche

Esercitazioni di Reti Logiche Esercitazioni di Reti Logiche Sintesi di Reti Sequenziali Zeynep KIZILTAN Dipartimento di Scienze dell Informazione Universita degli Studi di Bologna Anno Academico 2007/2008 Sintesi dei circuiti sequenziali

Dettagli

Corso di Access. Prerequisiti. Modulo L2 A (Access) Le query

Corso di Access. Prerequisiti. Modulo L2 A (Access) Le query Corso di Access Modulo L2 A (Access) 2.3.1 Le query 1 Prerequisiti Concetto di database relazionale Utilizzo elementare del computer Concetti fondamentali di basi di dati Interrogazione di un DB 2 1 Introduzione

Dettagli

Circuiti di commutazione, codifica e decodifica

Circuiti di commutazione, codifica e decodifica Circuiti di commutazione, codifica e decodifica Vediamo ora i più comuni circuiti per la codifica, decodifica e commutazione di informazioni rappresentate sotto forma binaria. Tali circuiti costituiscono

Dettagli

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005

Tecnologia CMOS. Ing. Ivan Blunno 21 aprile 2005 Tecnologia CMOS Ing. Ivan lunno 2 aprile 25 Introduzione In questa dispensa verranno presentati i circuiti CMOS (Complementary MOS). Nella prima parte verrà analizzato in dettaglio il funzionamento di

Dettagli

EFA Automazione S.r.l. SB3Conf Help V1.00 (01 ITA).doc pag. 1 / 10

EFA Automazione S.r.l. SB3Conf Help V1.00 (01 ITA).doc pag. 1 / 10 EFA Automazione S.r.l. SB3Conf Help V1.00 (01 ITA).doc pag. 1 / 10 SOMMARIO Requisiti software pag. 2 Istruzioni per l installazione pag. 2 Avvio del Configuratore pag. 2 Creazione di un nuovo progetto

Dettagli

FUTURA SERVICE S.r.l. Procedura GIMI.NET ver. 3.8 Agosto 2017

FUTURA SERVICE S.r.l. Procedura GIMI.NET ver. 3.8 Agosto 2017 Procedura GIMI.NET ver. 3.8 Agosto 2017 Requisiti di sistema minimi 2 Installazione procedura 3 Aggiornamento 3 Nuova installazione 3 Installazione Server Sql 9 Configurazione dati su Client 12 NOTA PER

Dettagli

A.C. Neve Esercizi Digitali 1

A.C. Neve Esercizi Digitali 1 Esercizi di Elettronica Digitale.. Neve Esercizi Digitali 1 Porte logiche Elementari ND OR NND NOR EXOR EXNOR 0 0 0 0 1 1 0 1 0 1 0 1 1 0 1 0 1 0 0 1 1 0 1 0 1 1 1 1 0 0 0 1 * Reti logiche con interruttori

Dettagli

Flip flop: tempificazione latch ed edge-triggered

Flip flop: tempificazione latch ed edge-triggered Corso di Calcolatori Elettronici I A.A. 2010-2011 Flip flop: tempificazione latch ed edge-triggered Lezione 23-26 Università degli Studi di Napoli Federico II Facoltà di Ingegneria I flip flop - 1 Generalità

Dettagli

WEBMAIL ODCECTA.IT. Guida all uso

WEBMAIL ODCECTA.IT. Guida all uso Guida all uso Guida all accesso ed all utilizzo delle caselle di posta elettronica dell Ordine dei Dottori Commercialisti ed Esperti Contabili di Taranto Dati aggiornati al 29/12/ 1. Caratteristiche del

Dettagli

FASI DA ESEGUIRE ON-LINE

FASI DA ESEGUIRE ON-LINE FASI DA ESEGUIRE ON-LINE a) Compilazione della candidatura telematica secondo il modello illustrato nel formulario. Istruzioni per candidarsi on-line Pagina 1 Compilazione della candidatura telematica

Dettagli

Microsoft Word (parte I) Mirko Gombia Università di Bologna

Microsoft Word (parte I) Mirko Gombia Università di Bologna Microsoft Word (parte I) Mirko Gombia Università di Bologna Cenni preliminari Microsoft Word è un programma del pacchetto Office di Microsoft È un software dedicato alla creazione di testi, ma non solo

Dettagli

Istruzioni per l utilizzo di Prode Properties

Istruzioni per l utilizzo di Prode Properties 1 Istruzioni per l utilizzo di Prode Properties Requisiti di sistema Microsoft Windows 2000, XP, Vista o versioni successive compatibili; 100 MB di RAM installata (se usato in unione con Microsoft Excel

Dettagli

14Ex-Cap11.qxd :20 Pagina Le macro

14Ex-Cap11.qxd :20 Pagina Le macro 14Ex-Cap11.qxd 21-12-2006 11:20 Pagina 203 11 Le macro Creare le macro Registrare una macro Eseguire una macro Riferimenti assoluti e relativi nelle macro Assegnare un pulsante a una macro Modificare una

Dettagli

PROCEDURA D INSTALLAZIONE DEL SOFTWARE DELLA CARTA TS-CNS. ACTALIS su Windows 32 bit e 65 bit

PROCEDURA D INSTALLAZIONE DEL SOFTWARE DELLA CARTA TS-CNS. ACTALIS su Windows 32 bit e 65 bit PROCEDURA D INSTALLAZIONE DEL SOFTWARE DELLA CARTA TS-CNS ACTALIS su Windows 32 bit e 65 bit INDICE Introduzione alla procedura d installazione del software della carta TS-CNS... 3 Installazione Software

Dettagli