V T = 1.2 V W / L = 20

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "V T = 1.2 V W / L = 20"

Transcript

1 Esercizio 1 Fondamenti di Elettronica - AA 2002/ a prova - Recupero 18 febbraio 2003 Indicare chiaramente la domanda a cui si sta rispondendo. Ad esempio 1a) Dato il circuito in Fig. 1: a) Polarizzare e calcolare il guadagno di piccolo segnale Vout/Vin. b) E possibile aumentare il modulo del guadagno fino a 5 variando R? Giustificare la risposta e, in caso affermativo, calcolare R. c) E possibile aumentare il modulo del guadagno fino a 7 variando R? Giustificare la risposta e, in caso affermativo, calcolare R. d) Si assuma ora R=2kΩ. Mostrare che è possibile raddoppiare il modulo del guadagno, mantenendo costante la corrente di polarizzazione, cambiando (W/L) e la polarizzazione del gate. Calcolare i nuovi valori di (W/L) e di tensione di gate. V DD =3V V T = 1.2 V V in R 1 =1kW C Esercizio 2 R 2 =2kW R=2kW V out Fig. 1 µ n C OX = 90 µa / V 2 W / L = 20 C ùdato il circuito in Fig.2 a) In assenza del diodo, disegnare qualitativamente l andamento temporale di V out a regime quando il periodo del segnale in ingresso V in e T=100µs. b) Sempre in assenza del diodo, disegnare qualitativamente l andamento temporale di V out a regime quando il periodo del segnale in ingresso V in e T=1µs. (Suggerimento: qual è il valor medio di V out? ) c) Si consideri adesso la presenza del diodo. Disegnare l andamento temporale di V out in questo caso. (Si assuma il diodo ideale) C=1nF Esercizio 3 V in V out R=10kW Si consideri la cella elementare di memoria dinamica in Fig.3, l alimentazione è V dd =3V, C B è la capacità parassita della bit line. a) Si ricorda che la bit-line prima della lettura viene pre-caricata a V dd /2 (tensione iniziale su C B =V dd /2). Calcolare il valore finale dell uscita (espresso in Volt) quando nella cella è memorizzato il valore 0 e quando è memorizzato il valore 1. Si consideri l n-mos un interruttore ideale b) Nel caso in cui nella cella e' memorizzato uno 0, cambia il valore finale dell'uscita se si considera l'nmos con soglia V T =0.5 V? Giustificare la risposta. c) Perché è necessario il refresh periodico delle memorie dinamiche come quella schematizzata? (Rispondere sinteticamente, utilizzare al massimo 5 righe di testo formule incluse) V in 3V T t Fig. 2 V G bit line Esercizio 4 C S=25fF V out C B =1pF Fig. 3 Un processore a CMOS, operante a 650 MHz richiede 10 W di potenza. a) Considerando il circuito composto esclusivamente di porte CMOS che dissipano solo potenza dinamica, che valore di dissipazione di potenza ci si attende quando la frequenza di clock è ridotta a 260 MHz? b) Poiche il circuito, in realta, dissipa sia potenza dinamica che potenza statica (ossia quella dissipata da circuiti di servizio non commutanti, da correnti di perdita etc ), quando la frequenza viene effettivamente portata a 260 MHz, il valore di dissipazione scende a 5 W e non al valore precedentemente calcolato. Con i dati a disposizione determinare la potenza statica dissipata dal processore.

2

3

4

5 Fondamenti di Elettronica - AA 2002/ a prova - Recupero 18 febbraio 2003 Indicare chiaramente la domanda a cui si sta rispondendo. Ad esempio A3) Esercizio A Dato il seguente circuito, dove Vin e un segnale triangolare che varia tra 0V e 3V con frequenza 500 Hz: Vref R1 Vin R2 Vdd Vss Vout R1=1 kω R2=10 kω Vref=2V Vdd=5 V Vss=0 V 1) Determinare le soglie di scatto assumendo ideale l amplificatore operazionale e disegnare la caratteristica di trasferimento ingresso-uscita. (Si assuma che l uscita dell operazionale saturi alle tensioni di alimentazione). 2) Disegnare le forme d onda di ingresso e di uscita in un diagramma temporale quotato. 3) Assumendo per l amplificatore operazionale una corrente di bias entrante I bias =10µA e I offset =0A, determinare l effetto sui valori delle soglie di scatto. Esercizio B Si consideri il circuito che esegue il campionamento e la conversione A/D del segnale Vin(t)=0.2*sen(wt) [V] R 1 V in (t) 1 C 2 R2 T s V g n-channel C gd V g T hold C H V ADC clock n bit -2.5 V digital out R 1 =1 kω R 2 =10 kω C 2 =50 pf C H =1 nf 1) Determinare la funzione di trasferimento del blocco circuitale nel riquadro tratteggiato. Tracciare i diagrammi di Bode (modulo e fase) quotati della risposta in frequenza V out /V in (si assuma l amplificatore operazionale ideale ). 2) Si assuma che l amplificatore operazionale 1 abbia un prodotto guadagno-banda GBWP=1MHz. Determinare l effettiva banda passante del circuito nel riquadro tratteggiato. 3) Calcolare il numero di bit n del convertitore A/D che garantisca una risoluzione di almeno 1/2000 dell ampiezza picco-picco del segnale di ingresso V in (t). Con riferimento al segnale di ingresso, determinare l entita dell errore di quantizzazione. 4) Si determini la massima corrente di bias che puo avere l amplificatore operazionale 1 perche l effetto sull uscita sia minore di 0.1LSB. 5) Sapendo che per transire dalla fase di sample a quella di hold la V g passa da +10 V a 0 V, determinare il valore della capacità C gd affinchè il massimo errore dovuto all iniezione della carica sia pari a ½ LSB. 6) Si assuma che la R ON dell interruttore MOS sia pari a 100 Ω e che T sample sia pari 500 ns. Determinare l errore dovuto alla parziale carica di C H (espresso in unita LSB) se la massima corrente di uscita dell operazionale 1 vale a) I OUTmax =50 ma, b) I OUTmax =5 ma (considerare la massima variazione di tensione possibile su C H ). 7) Considerando il T hold pari a 300µs, determinare la minima frequenza di clock da fornire all ADC se e del tipo ad approssimazioni successive.

6

7

8

Fondamenti di Elettronica per allievi INFORMATICI - AA 2004/ o appello 22 Febbraio 2005 Parte 1

Fondamenti di Elettronica per allievi INFORMATICI - AA 2004/ o appello 22 Febbraio 2005 Parte 1 Fondamenti di Elettronica per allievi INFORMATICI - AA 2004/2005 1 o appello 22 Febbraio 2005 Parte 1 Indicare chiaramente la domanda a cui si sta rispondendo. Ad esempio 1a) Esercizio 1. Si consideri

Dettagli

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012

Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/ Appello 09 Febbraio 2012 Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICA - AA 2010/2011 3 Appello 09 Febbraio 2012 Indicare chiaramente la domanda a cui si sta rispondendo. Ad esempio 1a) Esercizio 1. R 1 = 20 kω, R 2

Dettagli

Corso di ELETTRONICA II modulo. Ingegneria Clinica, Ingegneria Biomedica e Ingegneria dei Sistemi. Prof. Domenico Caputo. Esame del 19 febbraio 2009

Corso di ELETTRONICA II modulo. Ingegneria Clinica, Ingegneria Biomedica e Ingegneria dei Sistemi. Prof. Domenico Caputo. Esame del 19 febbraio 2009 Esame del 19 febbraio 2009 Nel circuito di figura Is è un generatore di corrente con l andamento temporale riportato nel grafico. Determinare l'evoluzione temporale della V out e disegnarne il grafico

Dettagli

Dati: k n1 = =1mA/V 2

Dati: k n1 = =1mA/V 2 Fondamenti di Elettronica Ing. AUTOMATICA e INFORMATICAA - AA 2012/2013 1 Appello 18 Luglio 2013 Indicare chiaramente la domanda a cui si sta rispondendo. Add esempio 1a) Esercizio 1. V DD= =5V D 1 k n

Dettagli

Esercizio 3. dell A.O., resistenza. una delle. circuito. , V. termine. sia una giunzione. del 20% del. Tp = 1V

Esercizio 3. dell A.O., resistenza. una delle. circuito. , V. termine. sia una giunzione. del 20% del. Tp = 1V Fondamenti di Elettronica Ing. AUTOMATICAA - AA 2016/2017 Appelloo del 21 Luglio 2017 Indicare chiaramente la domanda a cui si sta rispondendo. Add esempio 1a) In grassetto le domande obbligatorie per

Dettagli

Corso di ELETTRONICA II modulo. Ingegneria Clinica, Ingegneria Biomedica e Ingegneria dei Sistemi. Prof. Domenico Caputo. Esonero del 14 giugno 2006

Corso di ELETTRONICA II modulo. Ingegneria Clinica, Ingegneria Biomedica e Ingegneria dei Sistemi. Prof. Domenico Caputo. Esonero del 14 giugno 2006 Esonero del 14 giugno 2006 Dato il circuito di figura C 2 R 3 OP v IN C 1 v o in cui = =0.5K!, R 3 =250!, C 1 =1µF, C 2 =1nF e v IN (V) 2 1 2 t (µs) 2 determinare l evoluzione temporale di V 0, supponendo

Dettagli

Corso di ELETTRONICA 1 (Elettronici N.O.) 17/06/2003

Corso di ELETTRONICA 1 (Elettronici N.O.) 17/06/2003 Corso di ELETTRONICA 1 (Elettronici N.O.) 17/06/2003 Si analizzi l amplificatore mostrato in figura, determinando: 1. il valore del guadagno di tensione a frequenze intermedie; 2. le frequenze di taglio

Dettagli

FONDAMENTI DI ELETTRONICA - 2 a prova 4 febbraio 2003

FONDAMENTI DI ELETTRONICA - 2 a prova 4 febbraio 2003 Ù FONDAMENTI DI ELETTRONICA - 2 a prova 4 febbraio 2003 Esercizio 1 1) Si consideri il circuito riportato in figura. Si supponga che l amplificatore operazionale sia ideale (A, Z in, Z out =0).Si determini

Dettagli

Esonero del Corso di Elettronica I 23 aprile 2001

Esonero del Corso di Elettronica I 23 aprile 2001 Esonero del Corso di Elettronica I 23 aprile 2001 1) Nell amplificatore MO di figura k=5.10-4 A/V 2, V T = 2 V, = 10K Ω, =10V, =3V. eterminare il guadagno di tensione per un segnale applicato tra gate

Dettagli

Maturità Elettronica e Telecomunicazioni TEMA DI ELETTRONICA

Maturità Elettronica e Telecomunicazioni TEMA DI ELETTRONICA Maturità Elettronica e Telecomunicazioni TEMA DI ELETTRONICA Testo Un sistema elettronico di registrazione e visualizzazione dell attività elettrica del cuore è realizzato secondo lo schema a blocchi riportato

Dettagli

Elettronica I - Lab. Did. Elettronica Circuitale - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA

Elettronica I - Lab. Did. Elettronica Circuitale - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA Elettronica I - Lab. Did. Elettronica Circuitale - BREVE INTRODUZIONE AGLI STRUMENTI DEL BANCO DI MISURA Generatore di Funzioni T T i - TG2000 Generatore di Funzioni T T i - TG2000 Genera i segnali di

Dettagli

b) Tracciare il diagramma di Bode (modulo) di Vu/V1, su assi tarati in Hz e db, per C = 8 nf.

b) Tracciare il diagramma di Bode (modulo) di Vu/V1, su assi tarati in Hz e db, per C = 8 nf. Esercizio analogico A a) alcolare u (,) per 0, con AO ideali. b) Tracciare il diagramma di Bode (modulo) di u/, su assi tarati in Hz e db, per 8 nf. c) alcolare il guadagno in continua u/, con AO ideali,

Dettagli

Elettronica I - Seconda Esercitazione - RISPOSTA IN FREQUENZA DI CIRCUITI CON AMPLIFICATORI OPERAZIONALI

Elettronica I - Seconda Esercitazione - RISPOSTA IN FREQUENZA DI CIRCUITI CON AMPLIFICATORI OPERAZIONALI Elettronica I - Seconda Esercitazione - RISPOSTA IN FREQUENZA DI CIRCUITI CON AMPLIFICATORI OPERAZIONALI Configurazione Invertente Circuito ATTIVO: l amplificatore operazionale va alimentato OpAmp Ideale

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO De3 ESERCIZI PARTI B e D» Esempi di esercizi da scritti di esame AA 2015-16 01/12/2015-1 ElapDe2-2014 DDC Page 1 2014 DDC 1 De3:

Dettagli

D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI

D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI 10-Jan-02-1 1 Obiettivi del gruppo di lezioni D Analisi Sistemistica di soluzioni analogiche/digitali»

Dettagli

14 Giugno 2006 Prova scritta di Circuiti Integrati Analogici (tempo a disposizione 90 min)

14 Giugno 2006 Prova scritta di Circuiti Integrati Analogici (tempo a disposizione 90 min) 14 Giugno 2006 M3 M4 M2 M1 R Nel circuito in figura determinare: 1) trascurando l effetto di modulazione della lunghezza di canale, il legame tra la corrente che scorre nella resistenza R e i parametri

Dettagli

Ingegneria dell Informazione D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI. Analisi Sistemistica di soluzioni analogiche/digitali

Ingegneria dell Informazione D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI. Analisi Sistemistica di soluzioni analogiche/digitali Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI 10-Jan-02-1 Obiettivi del gruppo di lezioni D Analisi Sistemistica di soluzioni analogiche/digitali»

Dettagli

CORSO DI ELETTRONICA DELLE TELECOMUNICAZIONI

CORSO DI ELETTRONICA DELLE TELECOMUNICAZIONI CORSO DI EETTRONICA DEE TEECOMUNICAZIONI 8 UGIO 004 DOMANDE DI TEORIA ) Per descrivere le prestazioni di rumore di un circuito pilotato con una data impedenza (ad esempio 50Ω) è sufficiente un parametro,

Dettagli

CORSO DI ELETTRONICA DELLE TELECOMUNICAZIONI

CORSO DI ELETTRONICA DELLE TELECOMUNICAZIONI CORSO DI ELETTRONICA DELLE TELECOMUNICAZIONI 17 FEBBRAIO 2004 DOMANDE DI TEORIA 1) E dato un generatore con impedenza di sorgente di 50 Ω, che pilota un amplificatore di cui è nota la figura di rumore

Dettagli

ft = 1 / 6,28 * 20*10exp3* 10exp-8 = 796 [ Hz ]

ft = 1 / 6,28 * 20*10exp3* 10exp-8 = 796 [ Hz ] 4 5 4 5 7 1 7 1 1. 1 FUNZIONE DI TRASFERIMENTO BLOCCO U1 ft = 1 / 6,28 * 20*10exp3* 10exp-8 = 796 [ Hz ] +15 +15 U1 U2 va(t) vin R1 3 2 6 vout1 R3 3 2 6 vout2 5k 1k LF351 LF351-15 R2 20k C1-15 R4 20k C2

Dettagli

Appunti di ELETTRONICA Amplificatore operazionale (amp. Op oppure A. O.) - +

Appunti di ELETTRONICA Amplificatore operazionale (amp. Op oppure A. O.) - + Appunti di ELETTRONICA Amplificatore operazionale (amp. Op oppure A. O.) - + µa741 Cos'è l'amplificazione: Amplificare un segnale significa aumentarne il livello e di conseguenza la potenza. Il fattore

Dettagli

Esercizio C2.1 - Acquisizione dati: specifiche dei blocchi

Esercizio C2.1 - Acquisizione dati: specifiche dei blocchi Esercizio C2.1 - Acquisizione dati: specifiche dei blocchi È dato un segnale analogico avente banda 2 khz e dinamica compresa tra -2 V e 2V. Tale segnale deve essere convertito in segnale digitale da un

Dettagli

LSS ADC DAC. Piero Vicini A.A

LSS ADC DAC. Piero Vicini A.A LSS 2016-17 ADC DAC Piero Vicini A.A. 2016-2017 Conversione Digitale-Analogica La conversione digitale-analogica (DAC, Digital to Analog Conversion) permette di costruire una tensione V (o una corrente

Dettagli

T10 CONVERTITORI A/D E D/A

T10 CONVERTITORI A/D E D/A T10 CONVERTITORI A/D E D/A T10.1 Esplicitare i seguenti acronimi riguardanti i convertitori A/D e D/A: ADC.. DAC.. LSB.. SAR... S&H.. T10.2 Quanto vale l intervallo di quantizzazione in un ADC a 8 bit

Dettagli

COMPITO DI ELETTRONICA I ELETTRONICI INFORMATICI ELETTRICI

COMPITO DI ELETTRONICA I ELETTRONICI INFORMATICI ELETTRICI 18-01-2003 Q3 Q4 v out Q2 M1 v s i s Dz =3 V V Z =2 V Diodo zener ideale =1 kω =1.5 kω =250 Ω =1 kω β=100 K n =µ n C ox /2=50 µa/v 2 W/L=16/0.8 V Tn = 1 V C π = C gs =10 pf C µ = C gd =1 pf C1=C2=C3=1

Dettagli

Corso di Circuiti Integrati Anno Accademico 2012/2013. Esercitazione 6 Progetto di un amplificatore a Due Stadi (di Miller) in tecnologia CMOS 0.

Corso di Circuiti Integrati Anno Accademico 2012/2013. Esercitazione 6 Progetto di un amplificatore a Due Stadi (di Miller) in tecnologia CMOS 0. Università degli Studi di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica Corso di Circuiti Integrati Anno Accademico 2012/2013 Esercitazione 6 Progetto di un amplificatore

Dettagli

SISTEMI DI ACQUISIZIONE DATI Lunedì 21 novembre 2016

SISTEMI DI ACQUISIZIONE DATI Lunedì 21 novembre 2016 SISTEMI DI ACQUISIZIONE DATI Lunedì 21 novembre 2016 Prof. Alessandro Pesatori Prima Prova AA 2016/2017 Tempo a disposizione 1h 30min Aula V.7 ore 13.15 Cognome e nome: (stampatello) Matricola e firma

Dettagli

PROVA SCRITTA DI CIRCUITI ELETTRONICI ELEMENTARI (D.M. 270/04) 27/01/2017 [A] PROVA SCRITTA DI FONDAMENTI DI ELETTRONICA (D.M

PROVA SCRITTA DI CIRCUITI ELETTRONICI ELEMENTARI (D.M. 270/04) 27/01/2017 [A] PROVA SCRITTA DI FONDAMENTI DI ELETTRONICA (D.M PROVA SCRITTA DI CIRCUITI ELETTRONICI ELEMENTARI (D.M. 270/04) 27/01/2017 [A] PROVA SCRITTA DI FONDAMENTI DI ELETTRONICA (D.M. 270/04) 27/01/2017 [B] ESERCIZIO 1 [A] [B] DATI: β = 100; k = 4 ma/v 2 ; VTH

Dettagli

Elettronica per le telecomunicazioni

Elettronica per le telecomunicazioni POLITECNICO DI TORINO Elettronica per le telecomunicazioni Formulario Anno Accademico 2009/2010 Filtri Filtri del secondo ordine In generale la funzione di trasferimento è: H(s) = a 2 s 2 + a 1 s + a 0

Dettagli

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali.

INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1.2) Interruttori ideali e reali. INDICE Capitolo I - I dispositivi elettronici. Condizioni operative statiche. 1.1) Introduzione. 1 1.2) Interruttori ideali e reali. 1 1.3) Condizioni operative statiche del transistore a giunzione. 5

Dettagli

Misure su linee di trasmissione

Misure su linee di trasmissione Appendice A A-1 A-2 APPENDICE A. Misure su linee di trasmissione 1) Misurare, in trasmissione o in riflessione, la lunghezza elettrica TL della linea. 2) Dal valore di TL e dalla lunghezza geometrica calcolare

Dettagli

Prova scritta Fondamenti di Elettronica B / BC 26 Gennaio 2011 COGNOME: NOME: CORSO DI LAUREA: INGEGNERIA

Prova scritta Fondamenti di Elettronica B / BC 26 Gennaio 2011 COGNOME: NOME: CORSO DI LAUREA: INGEGNERIA Prova scritta Fondamenti di Elettronica B / BC 26 Gennaio 2011 A COGNOME: NOME: CORSO DI LAUREA: INGEGNERIA MATRICOLA: Negli esercizi, ove necessario e salvo indicazioni contrarie, si consideri che i circuiti

Dettagli

ELETTRONICA APPLICATA I (DU) Guida alle esercitazioni di laboratorio - AA Circuiti con Amplificatori Operazionali

ELETTRONICA APPLICATA I (DU) Guida alle esercitazioni di laboratorio - AA Circuiti con Amplificatori Operazionali Guida alle esercitazioni di laboratorio AA 19992000 Esercitazione n. 4 Circuiti con Amplificatori Operazionali 4.1 Amplificatore AC Montare il circuito riportato nello schema a lato, con alimentazione

Dettagli

Elettronica per le telecomunicazioni

Elettronica per le telecomunicazioni POLITECNICO DI TORINO Elettronica per le telecomunicazioni Homework Gruppo: A0 Antona Maria Gabriella Matricola: 14211 Degno Angela Rita Matricola: 14155 Fiandrino Claudio Matricola: 13436 Miggiano Marco

Dettagli

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI. D1- Sommatore :

SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI. D1- Sommatore : Ingegneria dell Informazione Modulo SISTEMI ELETTRONICI D SISTEMI DI ELABORAZIONE DIGITALE DEI SEGNALI D1- Sommatore :» confronto tra soluzioni analogiche e digitali» architetture digitali per il filtraggio

Dettagli

PROGRAMMAZIONE MODULARE

PROGRAMMAZIONE MODULARE PROGRAMMAZIONE MODULARE ANNO SCOLASTICO 2014-2015 DOCENTI: G. GANGALE -- E. SAPORITO Indirizzo: INFORMATICA - SIRIO Disciplina: ELETTRONICA E TELECOMUNICAZIONI Classe: 5^ Sezione: AIS Ore settimanali:

Dettagli

Campionamento. Campionamento: problema

Campionamento. Campionamento: problema Posizione del problema uniforme Ricostruzione Teorema del campionamento Significato della formula di ricostruzione Sistema di conversione A/D sample & hold quantizzazione Sistema di conversione D/A : problema

Dettagli

Soluzione: prof. Stefano Mirandola PRIMA PARTE. 1) 2) Schema a blocchi e progetto circuitale della catena di condizionamento.

Soluzione: prof. Stefano Mirandola PRIMA PARTE. 1) 2) Schema a blocchi e progetto circuitale della catena di condizionamento. ITEC - ELETTRONICA ED ELETTROTECNICA Sessione ordinaria 206 ARTICOLAZIONE ELETTRONICA Tema di: ELETTROTECNICA ED ELETTRONICA Soluzione: prof. Stefano Mirandola PRIMA PARTE ) 2) Schema a blocchi e progetto

Dettagli

Elettronica per le Telecomunicazioni/Informatica

Elettronica per le Telecomunicazioni/Informatica Esercizio 1 041111 [valori B] Per l'amplificatore indicato nello schema, nell ipotesi che alla frequenza di lavoro C1, C4 e C3 abbiano reattanza trascurabile e C2 possa essere considerato un circuito aperto:

Dettagli

SISTEMI ELETTRONICI PER AUTOMAZIONE E ROBOTICA 2015/ /12/2015 TIPOLOGIA A

SISTEMI ELETTRONICI PER AUTOMAZIONE E ROBOTICA 2015/ /12/2015 TIPOLOGIA A SISTEMI ELETTRONICI PER AUTOMAZIONE E ROBOTICA a.a. 2015/2016 17/12/2015 TIPOLOGIA A K=numero lettere nome del candidato, S= numero lettere cognome del candidato, (Es. Andrea Rossi, K= 6, S=5) Esercizio

Dettagli

{ v c 0 =A B. v c. t =B

{ v c 0 =A B. v c. t =B Circuiti RLC v c t=ae t / B con τ=rc e { v c0=ab v c t =B Diodo La corrente che attraversa un diodo quando questo è attivo è i=i s e v /nv T n ha un valore tra e. Dipende dalla struttura fisica del diodo.

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2018/2019

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI ALBA ANNO SCOLASTICO 2018/2019 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2018/2019 CLASSE 5 G Disciplina: Elettronica PROGETTAZIONE DIDATTICA ANNUALE Elaborata e sottoscritta dai docenti: cognome nome Milio Davide

Dettagli

ELECTRONIC SYSTEMS September 8 th, 2008 Prof. Marco Sampietro V V. R 1 18k. 18k. R 2 15k. 15k

ELECTRONIC SYSTEMS September 8 th, 2008 Prof. Marco Sampietro V V. R 1 18k. 18k. R 2 15k. 15k ELECTRONIC SYSTEMS September 8 th, 2008 Pro. Marco Sampietro Number N NAME Problem 1 MOSFET ampliier or analog signals + 3.3 R 1 18k C100pF R 2 15k R u 500Ω Considerare il circuito mostrato nella igura

Dettagli

Politecnico di Torino - Facoltà di Ingegneria delll Informazione Sistemi Elettronici

Politecnico di Torino - Facoltà di Ingegneria delll Informazione Sistemi Elettronici Prova scritta del 16/09/02 correzioni tempo: 2 ore Esercizio 1) a) alcolare (V1, V2) per = 0 e per e O2 ideali. b) Tracciare il diagramma di ode di /V1, per il valore indicato di, con e O2 ideali. c) alcolare

Dettagli

1. Convertire il numero esadecimale 4BE7 in binario su 16 bit. 2. Il risultato della conversione precedente, letto in complemento a due, è un numero:

1. Convertire il numero esadecimale 4BE7 in binario su 16 bit. 2. Il risultato della conversione precedente, letto in complemento a due, è un numero: TEST INIZIALE (in alcuni casi, oltre a crocettare la risposta corretta, si deve anche fare un disegno o scrivere qualche valore) 1. Convertire il numero esadecimale 4BE7 in binario su 16 bit. 0100 1011

Dettagli

Moduli Analogici e Amplificatori Operazionali (parte B e C) -1

Moduli Analogici e Amplificatori Operazionali (parte B e C) -1 Moduli Analogici e Amplificatori Operazionali (parte B e ) -1 Esercizi (con risultati numerici) Esercizio 1-000719 a) alcolare Vu (V1, V2) per = 0, Ad = oo b) Tracciare il diagramma di Bode di Vu/V1, per

Dettagli

Elettronica delle Telecomunicazioni Esercizi cap 2: Circuiti con Ampl. Oper. 2.1 Analisi di amplificatore AC con Amplificatore Operazionale reale

Elettronica delle Telecomunicazioni Esercizi cap 2: Circuiti con Ampl. Oper. 2.1 Analisi di amplificatore AC con Amplificatore Operazionale reale 2.1 Analisi di amplificatore AC con Amplificatore Operazionale reale Un amplificatore è realizzato con un LM741, con Ad = 100 db, polo di Ad a 10 Hz. La controreazione determina un guadagno ideale pari

Dettagli

Temi proposti relativi alla prova pratica di progettazione

Temi proposti relativi alla prova pratica di progettazione ESAMI DI STATO DI ABILITAZIONE ALL'ESERCIZIO DELLA PROFESSIONE DI INGEGNERE I SESSIONE 2006 II COMMISSIONE - SEZIONE A Temi proposti relativi alla prova pratica di progettazione 1 2 ESAMI DI STATO PER

Dettagli

Programmazione modulare a.s

Programmazione modulare a.s Programmazione modulare a.s. 2018-2019 Indirizzo: Trasporti e Logistica Classe: 4 A t Ore settimanali previste:3 (di cui 2 di laboratorio) Libro di testo: ELETTROTECNICA, ELETTRONICA e AUTOMAZIONE ed.

Dettagli

convertitore D/A convertitore A/D

convertitore D/A convertitore A/D n bit linee digitali N =2 n livelli range o dinamica tensione analogica d'ingresso IN IN convertitore D/A convertitore A/D OUT 1 filo linea analogica la tensione v out è quantizzata OUT n bit o N livelli

Dettagli

Collaudo statico di un ADC

Collaudo statico di un ADC Collaudo statico di un ADC Scopo della prova Verifica del funzionamento di un tipico convertitore Analogico-Digitale. Materiali 1 Alimentatore 1 Oscilloscopio 1 Integrato ADC 0801 o equivalente Alcuni

Dettagli

Elettronica I Porte logiche CMOS

Elettronica I Porte logiche CMOS Elettronica I Porte logiche CMOS Valentino Liberali Dipartimento di Tecnologie dell Informazione Università di Milano, 26013 Crema e-mail: liberali@dti.unimi.it http://www.dti.unimi.it/ liberali Elettronica

Dettagli

Capitolo IX. Convertitori di dati

Capitolo IX. Convertitori di dati Capitolo IX Convertitori di dati 9.1 Introduzione I convertitori di dati sono circuiti analogici integrati di grande importanza. L elaborazione digitale dei segnali è alternativa a quella analogica e presenta

Dettagli

V AL. Allievo:... Posizione:... Modulo I O Modulo II O. Prova scritta del 19/04/02 ver A. Esercizio 1

V AL. Allievo:... Posizione:... Modulo I O Modulo II O. Prova scritta del 19/04/02 ver A. Esercizio 1 Prova scritta del 19/04/02 ver A tempo: 2 ore Esercizio 1 Per l'amplificatore indicato nello schema, nell ipotesi che alla frequenza di lavoro C1, C4 e C3 abbiano reattanza trascurabile e C2 possa essere

Dettagli

COMPITO DI ELETTRONICA I

COMPITO DI ELETTRONICA I 22-01-2007 V DD M3 M4 R 2 C1 Q2 < C2 v O > r i Q1 R 3 r o R L i s + R 1 V DD =3 V R 1 =3 kω R 2 =2 MΩ R 3 = 15 kω R L =1 kω β=100 K p ==µ p C ox /2=20 µa/v 2 W/L=40/2 V Tp =-1 V C π = C GS =10 pf C µ =

Dettagli

Compito di Elettronica I 23/01/2002

Compito di Elettronica I 23/01/2002 Compito di Elettronica I 23/01/2002 VC VC R C C3 v s + > R E vo r i ut V C =3 V V C =5 V =100 KΩ =200 KΩ =300 KΩ R C =2.5 KΩ R E =1.3 KΩ =2.5 KΩ β=100 C π =10 pf C µ =1 pf ==C3=1 µf!"il punto di lavoro

Dettagli

Elettronica per le telecomunicazioni

Elettronica per le telecomunicazioni POLITECNICO DI TORINO Elettronica per le telecomunicazioni Formulario Anno Accademico 2009/200 Filtri Filtri del primo ordine Passa basso R 2 C 2 R H(s) = R 2 H(0) = R 2 R sr 2 C 2 R f p = φ = 0 90 2πR

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO Be2 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 AA 2014-15 23/09/2014-1 ElapBe2-2014 DDC Page 1

Dettagli

INVERTITORE RESISTOR-TRANSISTOR LOGIC (RTL)

INVERTITORE RESISTOR-TRANSISTOR LOGIC (RTL) INERTITORE RESISTOR-TRANSISTOR LOGIC (RTL) FIG. 1. Resistor-Transistor Logic (RTL) inverter. ediamo un esempio di realizzazione di un invertitore (Figura 1). Assumiamo inizialmente che il fan-out dell

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione Come utilizzare gli esercizi ELETTRONICA APPLICATA E MISURE Dante DEL CORSO Be2 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 AA 2015-16 Esercizi

Dettagli

Esame di Teoria dei Circuiti 25 Febbraio 2011 (Soluzione)

Esame di Teoria dei Circuiti 25 Febbraio 2011 (Soluzione) Esame di Teoria dei Circuiti 25 Febbraio 20 Soluzione) Esercizio I I R R I R2 R 2 V 3 I 3 V V 2 αi R βi R2 V I Con riferimento al circuito di figura si assumano i seguenti valori: R = kω, R 2 = kω, = 2

Dettagli

Politecnico di Torino - Facoltà dell Informazione Modulo Sistemi Elettronici

Politecnico di Torino - Facoltà dell Informazione Modulo Sistemi Elettronici Prova scritta del 8 Febbraio 2003 tempo: 2 ore Esercizio ) R =R2= 0kΩ R3 = 820kΩ R4 = 22kΩ R = 220kΩ R6 = 33kΩ C =C2= 00nF AO: Voff = 3mV, Ibias= 00nA (entranti) Ioff=20nA V=Asen(ωt) con A=mV V2=0.V V

Dettagli

ELETTRONICA APPLICATA E MISURE

ELETTRONICA APPLICATA E MISURE Ingegneria dell Informazione ELETTRONICA APPLICATA E MISURE Dante DEL CORSO B8 Esercizi parte B (2)» Generatore Q-T e Q» Monostabili» Laboratorio ELN-1 22/10/2013-1 ElapB8-2013 DDC Page 1 2013 DDC 1 Come

Dettagli

Esercitazione del 29 Aprile 2009

Esercitazione del 29 Aprile 2009 Esercitazione del 29 Aprile 2009 Invertitore Resistor-Transistor Logic (RTL) V out a) Parametri BJT Altri V out β F = 70 = 5V Q 1 I B V V CE V on = 0.7V = 0.8V = 10kΩ = 1kΩ b) CE = 0.1V Figura 1: Porta

Dettagli

Classe IV specializzazione elettronica. Elettrotecnica ed elettronica

Classe IV specializzazione elettronica. Elettrotecnica ed elettronica Macro unità n 1 Classe IV specializzazione elettronica Elettrotecnica ed elettronica Reti elettriche, segnali e diodi Leggi fondamentali: legge di Ohm, principi di Kirchhoff, teorema della sovrapposizione

Dettagli

ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016

ISTITUTO ISTRUZIONE SUPERIORE L. EINAUDI ALBA ANNO SCOLASTICO 2015/2016 ISTITUTO ISTRUZIONE SUPERIORE "L. EINAUDI" ALBA ANNO SCOLASTICO 2015/2016 CLASSE 5 I Disciplina: Elettronica PROGETTAZIONE DIDATTICA ANNUALE Elaborata e sottoscritta dai docenti: cognome nome Milio Davide

Dettagli

Laboratorio di Elettronica II. Esperienza 1. Misura delle NON idealità dell Op-Amp UA741

Laboratorio di Elettronica II. Esperienza 1. Misura delle NON idealità dell Op-Amp UA741 Laboratorio di Elettronica II Esperienza 1 Misura delle NON idealità dell Op-Amp UA741 Attività Misura delle principali non idealità di un Op-Amp commerciale Parte I: non-idealità statiche: - tensione

Dettagli

Esame di Teoria dei Circuiti 16 Dicembre 2014 (Soluzione)

Esame di Teoria dei Circuiti 16 Dicembre 2014 (Soluzione) Esame di Teoria dei Circuiti 16 Dicembre 2014 (Soluzione) Esercizio 1 3 3 γv 5 r 1 2 2 4 V 5 3 V 1 β 4 4 1 5 V 2 α 3 4 Con riferimento al circuito di figura si assumano i seguenti valori: 1 = 2 = 3 = 3

Dettagli

MISURA DELLA TENSIONE DI OFFSET DI UN AMPLIFICATORE OPERAZIONALE COMPENSAZIONE DELL OFFSET

MISURA DELLA TENSIONE DI OFFSET DI UN AMPLIFICATORE OPERAZIONALE COMPENSAZIONE DELL OFFSET Elettronica Applicata a.a. 2015/2016 Esercitazione N 4 MISURA DELLA TENSIONE DI OFFSET DI UN AMPLIFICATORE OPERAZIONALE COMPENSAZIONE DELL OFFSET Elettronica applicata Prof. Ing. Elena Biagi Sig. Marco

Dettagli

Analisi del circuito. Prima di svolgere i cinque punti richiesti dal tema analizziamo brevemente lo schema proposto.

Analisi del circuito. Prima di svolgere i cinque punti richiesti dal tema analizziamo brevemente lo schema proposto. Analisi del circuito Prima di svolgere i cinque punti richiesti dal tema analizziamo brevemente lo schema proposto. Il blocco A è chiaramente un astabile con frequenza f 0 khz T Il blocco B (da progettare)

Dettagli

PROGRAMMAZIONE MODULARE

PROGRAMMAZIONE MODULARE PROGRAMMAZIONE MODULARE ANNO SCOLASTICO 2014-2015 Indirizzo: INFORMATICA - SIRIO Disciplina: TELECOMUNICAZIONI Classe: 4^ Sezione: AIS DOCENTI: G. GANGALE -- E. SAPORITO Ore settimanali: 1 ora di teoria

Dettagli

Unità C: Conversione A/D e D/A. Cosa c è nell unità C

Unità C: Conversione A/D e D/A. Cosa c è nell unità C Elettronica per l informatica 1 Cosa c è nell unità C Unità C: Conversione A/D e D/A C.1 Catena di conversione A/D C.2 Convertitori D/A C.3 Convertitori A/D C.4 Condizionamento del segnale C.5 Convertitori

Dettagli

Prova scritta

Prova scritta Parte E-A Elettronica - Domande a risposta multipla Quesito A.1 Nel generatore di onda quadra realizzato con un solo operazionale, la tensione ai capi del condensatore ha andamento a) lineare b) a onda

Dettagli

Esercizi proposti. a. tracciare i diagrammi di Bode b. calcolare la risposta al gradino unitario applicato in t=0

Esercizi proposti. a. tracciare i diagrammi di Bode b. calcolare la risposta al gradino unitario applicato in t=0 Esercizi proposti s 1) Per il sistema con f.d.t. G ( s ) = si chiede di: s 1 a. tracciare i diagrammi di Bode b. calcolare la risposta al gradino unitario applicato in t= s ) Per il sistema con f.d.t.

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte F: Conversione A/D e D/A Lezione n F - 3: Convertitori A/D

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte F: Conversione A/D e D/A Lezione n F - 3: Convertitori A/D ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte F: Conversione A/D e D/A Lezione n. 26 - F - 3: Convertitori A/D Elettronica II - Dante Del Corso - Gruppo F a - 6 n. 1-14/11/97 Rete

Dettagli

Questo è un esempio di scritto, con riferimento alla sola parte di Elettronica.

Questo è un esempio di scritto, con riferimento alla sola parte di Elettronica. Prova scritta - ver A (esempio con istruzioni)) Cognome... Nome... Matricola. c a tte d ra A 1 A 2 A 3 A 4... B 1 B 2... C1 C 2...... Aula... Posizione (in riferimento alla mappa):. Lo scritto

Dettagli

Elettronica applicata

Elettronica applicata 4. DIODO IDEALE La configurazione in diodo ideale (riportata in fig., con la caratteristica ingresso uscita) consente di avere la seguente risposta ad una tensione di ingresso i : per i < 0 o > 0 ed inoltre

Dettagli

A Laurea in Fisica - Anno Accademico

A Laurea in Fisica - Anno Accademico A Laurea in Fisica - Anno Accademico 2018-2019 30 ottobre 2018 Primo esonero del Lab di Seg. e Sistemi Nome : ognome : Matricola : anale/prof : Gruppo Lab.: iportate su questo foglio le risposte numeriche

Dettagli

Il Sottosistema di Memoria

Il Sottosistema di Memoria Il Sottosistema di Memoria Calcolatori Elettronici 1 Memoria RAM RAM: Random Access Memory Tempi di accesso indipendenti dalla posizione Statica o Dinamica Valutata in termini di Dimensione (di solito

Dettagli

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Condizionamento dei Segnali

INGEGNERIA E TECNOLOGIE DEI SISTEMI DI CONTROLLO Condizionamento dei Segnali INGEGNEIA E TECNOLOGIE DEI SISTEMI DI CONTOLLO Condizionamento dei Segnali Prof. Carlo ossi DEIS Università di Bologna Tel: 051 2093020 email: crossi@deis.unibo.it Argomenti trattati Acquisizione Multiplexer

Dettagli

Esercitazione 4 : CONVERTITORE D/A CON RETE A SCALA

Esercitazione 4 : CONVERTITORE D/A CON RETE A SCALA Esercitazione 4 : CONVERTITORE D/A CON RETE A SCALA Specifiche Progettare un convertitore D/A a 6 bit utilizzando una rete a scala pilotata con deviatori di tensione. L'uscita deve coprire il campo 0-10

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte F: Conversione A/D e D/A Lezione n F - 5: Circuiti di Sample-Hold

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte F: Conversione A/D e D/A Lezione n F - 5: Circuiti di Sample-Hold ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte F: Conversione A/D e D/A Lezione n. 28 - F - 5: Circuiti di Sample-Hold Riferimenti al testo Millman-Grabel: Cap. 16: Signal conditioning

Dettagli

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1:

ELETTRONICA II. Prof. Dante Del Corso - Politecnico di Torino. Parte E: Circuiti misti analogici e digitali Lezione n E - 1: ELETTRONICA II Prof. Dante Del Corso - Politecnico di Torino Parte E: Circuiti misti analogici e digitali Lezione n. 19 - E - 1: Comparatori di soglia Comparatori con isteresi Circuiti misti analogici

Dettagli

R 2 R 1 R 3 R 4 V DD B M 6 A M 5 C M 4 Y M 3 C M 2 M 1 C 2. C 1 v in. v out 2 _

R 2 R 1 R 3 R 4 V DD B M 6 A M 5 C M 4 Y M 3 C M 2 M 1 C 2. C 1 v in. v out 2 _ Raccolta dei testi d'esame di ELETTRONI Polo idattico e di Ricerca di rema nno 1999 1 Prova scritta del 1 Febbraio 1999 ompitino: esercizi 1.1, 1.2 e 1.3. I 0 R 4 1.2 Nel circuito in gura 2, l'amplicatore

Dettagli

Appendice A. A.1 Amplificatore con transistor bjt

Appendice A. A.1 Amplificatore con transistor bjt Appendice A A.1 Amplificatore con transistor bjt Il circuito in fig. A.1 è un esempio di amplificatore a più stadi. Si utilizza una coppia differenziale di ingresso (T 1, T 2 ) con un circuito current

Dettagli

Elettronica per le telecomunicazioni

Elettronica per le telecomunicazioni POLITENIO DI TOINO Elettronica per le telecomunicazioni Homework Gruppo: A08 Antona Maria Gabriella Matricola: 482 Degno Angela ita Matricola: 4855 Fiandrino laudio Matricola: 38436 Miggiano Marco Antonio

Dettagli

Laboratorio di Elettronica II. Esperienza 3. Progetto di un amplificatore con BJT

Laboratorio di Elettronica II. Esperienza 3. Progetto di un amplificatore con BJT Laboratorio di Elettronica II Esperienza 3 Progetto di un amplificatore con BJT 1 Attività Progetto e verifica al simulatore di un amplificatore, date le specifiche funzionali desiderate: Progetto preliminare

Dettagli

Tipi di amplificatori e loro parametri

Tipi di amplificatori e loro parametri Amplificatori e doppi bipoli Amplificatori e doppi bipoli Introduzione e richiami Simulatore PSPICE Amplificatori Operazionali e reazione negativa Amplificatori AC e differenziali Amplificatori Operazionali

Dettagli

SVOLGIMENTO DEL TEMA DI ELETTRONICA PER L INDIRIZZO DI ELETTRONICA E TELECOMUNICAZIONI ( 23 GIUGNO 2011) (Autore Prof.ssa Maria Rosa Malizia)

SVOLGIMENTO DEL TEMA DI ELETTRONICA PER L INDIRIZZO DI ELETTRONICA E TELECOMUNICAZIONI ( 23 GIUGNO 2011) (Autore Prof.ssa Maria Rosa Malizia) SVOLGIMENTO DEL TEMA DI ELETTONICA PE L INDIIZZO DI ELETTONICA E TELECOMUNICAZIONI ( 23 GIUGNO 2011) (Autore Prof.ssa Maria osa Malizia) Punto 1: Disegnare lo schema a blocchi del sistema di acquisizione

Dettagli

Esercitazione 3 (B7- U9) Misure su amplificatori. Modulo SISTEMI ELETTRONICI AA ESERCITAZIONI DI LABORATORIO - 3. Scopo dell esercitazione

Esercitazione 3 (B7- U9) Misure su amplificatori. Modulo SISTEMI ELETTRONICI AA ESERCITAZIONI DI LABORATORIO - 3. Scopo dell esercitazione Esercitazione 3 (B7- U9) Misure su amplificatori Scopo dell esercitazione Gli obiettivi di questa esercitazione sono: - Analizzare il comportamento e misurare i parametri di moduli amplificatori, - Verificare

Dettagli

Exam of ELECTRONIC SYSTEMS June 15 th, 2012 Prof. Marco Sampietro

Exam of ELECTRONIC SYSTEMS June 15 th, 2012 Prof. Marco Sampietro Exam of ELECTRONIC SYSTEMS June 15 th, 2012 Prof. Marco Sampietro Matr. N NAME Problem 1 Operational Amplifier circuit 1. Considerare l amplificatore della figura seguente. Supporre inizialmente di usare

Dettagli

Laboratorio di Segnali e Sistemi - a.a. 2016/ Prova del 21/12/2016

Laboratorio di Segnali e Sistemi - a.a. 2016/ Prova del 21/12/2016 A Cognome e Nome (Scrivere Cognome e Nome su questo foglio e consegnarlo insieme allo svolgimento del compito) Laboratorio di Segnali e Sistemi - a.a. 206/207 - Prova del 2/2/206 Esercizio (0 punti) Determinare

Dettagli

OSCILLATORE A SFASAMENTO

OSCILLATORE A SFASAMENTO Elettronica Applicata a.a. 2013/2014 Esercitazione N 5 OSCILLATORE A SFASAMENTO Fabio Cioria Andrea Giombetti Giulio Pelosi (fabio.cioria@insono.com) (giombetti@unifi.it) (giulio.pelosi@insono.it) www.echommunity.com/courses.htm

Dettagli

Sistema di acquisizione e distribuzione dati

Sistema di acquisizione e distribuzione dati Sistema di acquisizione e distribuzione dati Il sistema di acquisizione e distribuzione dati, costituito da un insieme di dispositivi elettronici, è utilizzato per l acquisizione di segnali analogici e

Dettagli

Sensori Segnali Rumore - Prof. S. Cova - appello 28/09/ P2 pag.1

Sensori Segnali Rumore - Prof. S. Cova - appello 28/09/ P2 pag.1 ensori egnali Rumore - Prof.. Cova - appello 8/09/0 - P pag. PROBLEMA Quadro dei dati Termoresistenza PT00 alore di riferimento a 0 C (73 K) Coefficiente di temperatura R T0 =00 Ω α = 3,9 0-3 / C Potenza

Dettagli

Amplificatore Operazionale

Amplificatore Operazionale mplificatore Operazionale ,, V V Vout = d ( V V ) c esistenza di ingresso esistenza di uscita i = o = 0 mplificazione di modo comune c = 0 V CC mplificazione di modo differenziale d = V= d 0 = in =0 out

Dettagli