Componenti sequenziali in VHDL

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Componenti sequenziali in VHDL"

Transcript

1 Compoeti seueziali i VHDL Architetture e reti logiche Esercitazioi VHDL a.a. 2005/06 Compoeti seueziali i VHDL Stefao Ferrari Uiversità egli Stui i Milao Dipartimeto i Tecologie ell Iformazioe Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 1/17 Flip-flop i tipo D etity FF_D is port (, : i bit; : out bit); e FF_D; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 2/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 1

2 Compoeti seueziali i VHDL Flip-flop D: moello comportametale architecture behav1 of FF_D is process () if ( evet a = 1 ) the <= ; e if; e process; e behav1; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 3/17 Flip-flop D: moello comportametale (2) architecture behav2 of FF_D is process -- o sesitivity list wait util evet a = 1 ; <= ; e process; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 4/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 2

3 Compoeti seueziali i VHDL Registro a bit [0.. 1] [0.. 1] etity REG4 is port ( : i bit_vector(0 to 3); : i bit; : out bit_vector(0 to 3)) e REG4; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 5/17 Registro a 4 bit: moello strutturale Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 6/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 3

4 Compoeti seueziali i VHDL Registro a 4 bit: moello strutturale (2) architecture STRUCT of REG4 is compoet FF_D port (, : i bit; : out bit); e compoet; u1: FF_D port map ((0),,(0)); u2: FF_D port map ((1),,(1)); u3: FF_D port map ((2),,(2)); u4: FF_D port map ((3),,(3)); e STRUCT; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 7/17 Flip-flop i tipo SR s r s r X etity FF_set_reset is port (s, r, : i st_logic; : out st_logic); e FF_set_reset; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 8/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 4

5 Compoeti seueziali i VHDL Flip-flop SR: moello comportametale architecture BEHAV of FF_set_reset is process () if ( evet a = 1 ) the if (s= 1 a r= 1 ) the <= X ; elsif (r= 1 ) the <= 0 ; elsif (s= 1 ) the <= 1 ; e if; e if; e process; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 9/17 Flip-flop SR co reset asicroo architecture BEHAV2 of FF_set_reset is process (, r) if (r= 1 ) the -- reset asicroo e prioritario <= 0 ; elsif ( evet a = 1 ) the if (s= 1 ) the <= 1 ; e if; e if; e process; e BEHAV2; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 10/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 5

6 Compoeti seueziali i VHDL Cotatore out # froti out Cotatore a bit Cotatore moulo 2 Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 11/17 Cotatore (2) reset set loa ata i out up/ow eable Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 12/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 6

7 Compoeti seueziali i VHDL architecture behav of cot8 is sigal t: st_logic_vector(0 to 7); process(, reset) if (reset = 1 ) the t <= " "; elsif ( evet a = 1 ) the t <= t + " "; e if; e process; outa <= t; -- assegameto cocorrete e behav; Cotatore co reset Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 13/17 Cotatore completo out up/ow eable ata i loa reset set Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 14/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 7

8 Compoeti seueziali i VHDL Shift register etity ShiftRegister is port (, : i st_logic; : out st_logic); e ShiftRegister; Il umero i bit el registro o compare ell iterfaccia. Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 15/17 Shift register (2) architecture behav of ShiftRegister is sigal t : st_logic_vector(0 to 3); process () if evet a = 1 the t <= & t(0 to 2); <= t(3); e if; e process; e behav; Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 16/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 8

9 Compoeti seueziali i VHDL Shift register: segali aizioali reset poe tutti i bit a "0" set poe tutti i bit a "1" right/lef t irezioe ello scorrimeto eable abilita/isabilita lo scorrimeto ata i/loa scrittura parallela ata out lettura parallela Stefao Ferrari Uiversità egli Stui i Milao Architetture e reti logiche VHDL Compoeti seueziali i VHDL a.a. 2005/06 - p. 17/17 Stefao Ferrari Architetture e reti logiche VHDL a.a. 2005/06 9

Descrizione VHDL di componenti sequenziali

Descrizione VHDL di componenti sequenziali Descrizioe VHDL di compoeti seueziali 14 giugo 2003 1 Registri I registri soo ua famiglia di compoeti utilizzati per la memorizzazioe. Il loro fuzioameto dipede uidi, oltre che dai segali di igresso, ache

Dettagli

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) 18 settembre 2003 Prova del 9 giugno 2003 Descrizione VHDL (a scelta, dataflow o comportamentale) di un decoder per il

Dettagli

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009

DIPARTIMENTO DI ELETTRONICA E INFORMAZIONE. VHDL - Esempi. Martedì 13 Gennaio 2009 VHDL - Esempi Martedì 13 Gennaio 2009 Processi Un process è un'istruzione concorrente che contiene un'area sequenziale. Un processo viene eseguito parallelamente alle altre istruzioni concorrenti. L'esecuzione

Dettagli

Descrizione VHDL di componenti combinatori

Descrizione VHDL di componenti combinatori Descrizione VHDL di componenti combinatori 5 giugno 2003 1 Decoder Il decoder è un componente dotato di N ingressi e 2 N uscite. Le uscite sono poste tutte a 0 tranne quella corrispondente al numero binario

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

Introduzione al VHDL Lezione 3

Introduzione al VHDL Lezione 3 Introduzione al VHDL Lezione 3 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio.

library ieee; use ieee.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library STD; use STD.textio. VHDL Linguaggio di descrizione dell'hardware VHSIC Hardware Description Language VHSIC: Very High Speed Integrated Circuits VHDL Processi Attivati da qualche segnale Assegnazioni concorrenti A

Dettagli

Introduzione al VHDL. Alcuni concetti introduttivi

Introduzione al VHDL. Alcuni concetti introduttivi Introduzione al VHDL Alcuni concetti introduttivi Riferimenti The VHDL Cookbook, Peter J. Ashenden, Reperibile nel sito: http://vlsilab.polito.it/documents.html The VHDL Made Easy, David Pellerin, Douglas

Dettagli

2 storage mechanisms positive feedback charge-based

2 storage mechanisms positive feedback charge-based Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based Positive Feedback: Bi-Stability V i V o = V i 2 V o2 V o2

Dettagli

UNIVERSITA DEGLI STUDI DI FIRENZE. Facoltà di Ingegneria Corso di Laurea in Ingegneria Informatica !" #$ & +

UNIVERSITA DEGLI STUDI DI FIRENZE. Facoltà di Ingegneria Corso di Laurea in Ingegneria Informatica ! #$ & + UNIVERSITA DEGLI STUDI DI FIRENZE Facoltà di Ingegneria Corso di Laurea in Ingegneria Informatica!" #$ %&&'()* & + + Sommario INTRODUZIONE... 2 1.1 UN ESEMPIO... 3 PRINCIPALI CARATTERISTICHE DEL LINGUAGGIO...

Dettagli

Reti Logiche A. Introduzione al VHDL

Reti Logiche A. Introduzione al VHDL Reti Logiche Introduzione al VHDL Gianluca Palermo Politecnico di Milano Dipartimento di Elettronica e Informazione e-mail: gpalermo@fusberta.elet.polimi.it 1 Sommario Introduzione Struttura di un modello

Dettagli

Circuiti sincroni Circuiti sequenziali: i bistabili

Circuiti sincroni Circuiti sequenziali: i bistabili Architettura degli Elaboratori e delle Reti Lezione 8 Circuiti sincroni Circuiti sequenziali: i bistabili Proff. A. Borghese, F. Pedersini ipartimento di Scienze dell Informazione Università degli Studi

Dettagli

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30

Sistemi per la Progettazione Automatica. problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3 6 problema 4 6 totale 30 Sistemi per la Progettazione Automatica Informatica - Tiziano Villa 17 Marzo 2008 Nome e Cognome: Matricola: Posta elettronica: problema punti massimi i tuoi punti problema 1 14 problema 2 4 problema 3

Dettagli

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2

Calcolatori Elettronici M Modulo 2. 02 - Linguaggio VHDL Parte 1/2 Calcolatori Elettronici M Modulo 2 02 - Linguaggio VHDL Parte 1/2 1 Caratteristiche dei linguaggi HDL Gli HDL, essendo linguaggio di programmazione finalizzati a modellare l HARDWARE, presentano delle

Dettagli

Flip-flop e loro applicazioni

Flip-flop e loro applicazioni Flip-flop e loro applicazioni Reti sequenziali elementari (6) L'elemento bistabile Latch o flip-flop trasparenti Temporizzazione dei flip-flop trasparenti Architettura master-slave Flip-flop non trasparenti

Dettagli

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state.

Sequential Logic. 2 storage mechanisms positive feedback charge-based. Inputs. Outputs COMBINATIONAL LOGIC. Current State. Next state. Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based ES-TLC 5/6 - F. ella Corte V o i i 2 2 5 5 V V o o V V 5 V

Dettagli

Chapter 7 Registers and Register Transfers

Chapter 7 Registers and Register Transfers Logic and Computer Design Fundamentals Chapter 7 Registers and Register Transfers Part 1 Registers, Microoperations and Implementations Charles Kime & Thomas Kaminski 2008 Pearson Education, Inc. (Hyperlinks

Dettagli

Circuiti sequenziali e elementi di memoria

Circuiti sequenziali e elementi di memoria Il Livello Logicoigitale I circuiti sequenziali Corso ACSO prof. Cristina SILVANO Politecnico di Milano Sommario Circuiti sequenziali e elementi di memoria Bistabile SR asincrono Temporizzazione e clock

Dettagli

Flip-flop, registri, la macchina a stati finiti

Flip-flop, registri, la macchina a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Flip-flop, registri, la macchina a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di

Dettagli

DESCRIZIONE DEL FUNZIONAMENTO

DESCRIZIONE DEL FUNZIONAMENTO I FLIP FLOP 1.1. Flip Flop Set Reset In figura è rappresentato un f/f set reset con porte NAND. Si tratta del blocco fondamentale alla base di tutti i tipi di F/F. Tabella di verità del Flip Flop Set Reset

Dettagli

Descrizioni VHDL Behavioral

Descrizioni VHDL Behavioral 1 Descrizioni VHDL Behavioral In questo capitolo vedremo come la struttura di un sistema digitale è descritto in VHDL utilizzando descrizioni di tipo comportamentale. Outline: process wait statements,

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver

interfacciamento statico e dinamico analisi di interconnessioni, driver e receiver Elettronica per telecomunicazioni 1 Contenuto dell unità D Interconnessioni interfacciamento statico e dinamico Integrità di segnale analisi di interconnessioni, driver e receiver Diafonia accoppiamenti

Dettagli

Elettronica delle TLC II Esercitazione I

Elettronica delle TLC II Esercitazione I Elettronica delle TLC II Esercitazione I 1. Obbiettivo esercitazione: L esercitazione si pone l obbiettivo di realizzare un voltmetro a due cifre decimali utilizzando la FPGA messa a disposizione dalla

Dettagli

FONDAMENTI DI INFORMATICA Lezione n. 7. Esercizi di progetto di circuiti sequenziali

FONDAMENTI DI INFORMATICA Lezione n. 7. Esercizi di progetto di circuiti sequenziali FONDAMENTI DI INFORMATICA Lezione n. 7 Esercizi di progetto di circuiti sequenziali 1 / 17 RIEPILOGO TEORICO CIRCUITI SEQUENZIALI: le uscite dipendono non solo dagli ingressi, ma anche dallo stato interno

Dettagli

I bistabili ed il register file

I bistabili ed il register file I bistabili ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano 1/32 Sommario I problemi dei latch trasparenti sincroni

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Reti con reazione e memoria Le funzioni logiche e le relative reti di implementazione visto fino ad ora sono note come reti combinatorie Le reti combinatorie non

Dettagli

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche Calcolatori Elettronici T Complementi ed Esercizi di Reti Logiche Introduzione Reti Logiche: sintesi mediante approccio formale Specifiche del Problema Grafo degli Stati Tabella di Flusso Tabella delle

Dettagli

Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone

Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone Calcolatori Elettronici Lezione 4 Reti Sequenziali Asincrone Ing. Gestionale e delle Telecomunicazioni A.A. 2007/08 Gabriele Cecchetti Reti Sequenziali Asincrone Sommario: Definizione Condizioni di pilotaggio

Dettagli

Un linguaggio per la descrizione dello hardware: il VHDL

Un linguaggio per la descrizione dello hardware: il VHDL Un linguaggio per la descrizione dello hardware: il VHDL Gli Hardware Description Languages Gli HDL consentono lo sviluppo di un modello del comportamento dei sistema digitali. Gli HDL permettono l eseguibilità

Dettagli

acquisire informazioni su grandezze analogiche, trasformandole in stringhe di bit

acquisire informazioni su grandezze analogiche, trasformandole in stringhe di bit Convertitori analogico/digitali Un convertitore analogico digitale ha la funzione inversa a quella di un convertitore DAC, poiché il suo scopo è quello di permetter ad un sistema a microprocessore di acquisire

Dettagli

Esercizi sulle Reti Sequenziali Sincronizzate

Esercizi sulle Reti Sequenziali Sincronizzate Esercizi sulle Reti Sequenziali Sincronizzate Corso di Laurea di Ing. Gestionale e di Ing. delle Telecomunicazioni A.A. 27-28 1. Disegnare il grafo di stato di una RSS di Moore avente tre ingressi A, B,

Dettagli

Introduzione ai microcontrollori

Introduzione ai microcontrollori Introduzione ai microcontrollori L elettronica digitale nasce nel 1946 con il primo calcolatore elettronico digitale denominato ENIAC e composto esclusivamente di circuiti a valvole, anche se negli anni

Dettagli

Reti Logiche Appello del 1 marzo 2011

Reti Logiche Appello del 1 marzo 2011 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi prof.ssa Cristiana Bolchini Esercizio n. 1 Si consideri la macchina sequenziale sincrona a

Dettagli

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA

Regole per la scrittura di VHDL Sintetizzabile. Fabio Campi. Corso di Elettronica dei Sistemi Digitali LS AA Regole per la scrittura di VHDL Sintetizzabile Fabio Campi Corso di Elettronica dei Sistemi Digitali LS AA 2003-2004 2004 VHDL Sintetizzabile Obiettivo: Mappare su celle Hardware di libreria, riferite

Dettagli

Verifica di Sistemi. 2. Il latch SR a porte NOR non accetta la condizione: a. S=0, R=0 b. S=1, R=1 c. S=0, R=1 d. S=1, R=0

Verifica di Sistemi. 2. Il latch SR a porte NOR non accetta la condizione: a. S=0, R=0 b. S=1, R=1 c. S=0, R=1 d. S=1, R=0 Verifica di Sistemi 1.Qual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano direttamente

Dettagli

Quarta esercitazione

Quarta esercitazione 1 Quarta esercitazione Soluzione di una prova d esame Obiettivo Fornire una metodologia di progetto scomposizione in blocchi e definzione dei segnali interni diagramma degli stati della FSM scrittura del

Dettagli

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language

Introduzione al VHDL VHDL. La storia. Corso introduttivo al VHDL. l acronimo di VHSIC Hardware Description Language Introduzione al VHDL Corso introduttivo al VHDL Giovanni De Luca VHDL VHDL è l acronimo di VHSIC Hardware Description Language VHSIC è l acronimo di Very High Speed Integrated Circuit La storia Il VHDL

Dettagli

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware

Architetture BIST. Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan. Inizializzazione del circuito hardware Architetture BIST Motivazioni Built-in in Logic Block Observer (BILBO) Test / clock Test / scan Circular self-test path (CSTP) BIST Inizializzazione del circuito Loop-back hardware Inserimento di punti

Dettagli

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM

Calcolatori Elettronici M Modulo Introduzione all ambiente Xilinx ISE 12.3 e ISIM Calcolatori Elettronici M Modulo 2 06 Introduzione all ambiente Xilinx ISE 12.3 e ISIM 1 Questi lucidi forniscono una sintetica introduzione all ambiente di sviluppo Xilinx ISE 12.3 utilizzando come riferimento

Dettagli

Progettazione di circuiti digitali e linguaggio VHDL

Progettazione di circuiti digitali e linguaggio VHDL Progettazione di circuiti digitali e linguaggio VHDL Sergio Ricciarini ~ INFN Firenze Corso di Elettronica Generale I Laurea Specialistica in Scienze Fisiche e Astrofisiche Dip. di Fisica - Università

Dettagli

Pin-out logico di una CPU CPU. Reset Clock Ready. Architettura di un Sistema

Pin-out logico di una CPU CPU. Reset Clock Ready. Architettura di un Sistema Pin-out logico di una CPU Int/Inta Hold/Holda CPU Bus Comandi Bus Indirizzi Bus Dati Reset Clock Ready 1 Architettura di un Sistema Il termine architettura di un sistema basato su microprocessore comprende

Dettagli

Università degli Studi di Cassino

Università degli Studi di Cassino di assino orso di alcolatori Elettronici I Elementi di memoria e registri Anno Accademico 27/28 Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario utilizzare

Dettagli

Logica sequenziale: implementazione verilog

Logica sequenziale: implementazione verilog Logica sequenziale: implementazione verilog Lucidi del Corso di Elettronica Digitale Modulo 10 Università di Cagliari Dipartimento di Ingegneria Elettrica ed Elettronica Laboratorio di Elettronica (EOLAB)

Dettagli

Progettazione di circuiti digitali e linguaggio VHDL

Progettazione di circuiti digitali e linguaggio VHDL Progettazione di circuiti digitali e linguaggio VHDL Sergio Ricciarini ~ IFAC (CNR), Firenze Corso di Elettronica Generale I Laurea Magistrale in Scienze Fisiche e Astrofisiche Università di Firenze 2014-11-04

Dettagli

Esercizi su microistruzioni. 1 Esercizi con architettura a 1 bus

Esercizi su microistruzioni. 1 Esercizi con architettura a 1 bus Esercizi su microistruzioni Ogni riga elenca i segnali che vengono attivati nello stesso ciclo di clock. Si assume che lettura e scrittura dei registri avvengano all inizio e alla fine del ciclo di clock,

Dettagli

Elettronica delle Telecomunicazioni II - Esercitazione 1. Interfaccia di convertitori A/D e A/D con logica programmabile

Elettronica delle Telecomunicazioni II - Esercitazione 1. Interfaccia di convertitori A/D e A/D con logica programmabile Elettronica delle Telecomunicazioni II - Esercitazione 1 Interfaccia di convertitori A/D e A/D con logica programmabile 1. Obbiettivo dell esercitazione: Questa esercitazione ha l obbiettivo di realizzare

Dettagli

L unità di controllo di CPU multi-ciclo

L unità di controllo di CPU multi-ciclo L unità di controllo di CPU multi-ciclo Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano A.A. 23-24 /2 Sommario I segnali di controllo

Dettagli

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno Gli elementi di memoria: i bistabili I registri Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08 08 Circuiti sequenziali Nei circuiti sequenziali il valore delle uscite in un dato istante dipende

Dettagli

Complementi ed Esercizi di Reti Logiche in VHDL

Complementi ed Esercizi di Reti Logiche in VHDL Calcolatori Elettronici M Complementi ed Esercizi di Reti Logiche in VHDL in collaborazione con Francesco Maria Sprotetto 1 Premessa In questo progetto sono stati implementati gli esercizi descritti in

Dettagli

Introduzione al linguaggio VHDL

Introduzione al linguaggio VHDL DEIS Dipartimento di Elettronica Informatica e Sistemistica Universita di Bologna Introduzione al linguaggio VHDL PARTE III Stefano Mattoccia e-mail: smattoccia@deis.unibo.it Telefono: +39 051 2093860

Dettagli

Reti Logiche A Appello del 24 febbraio 2010

Reti Logiche A Appello del 24 febbraio 2010 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Appello del 24 febbraio 2010 Matricola prof.ssa Cristiana Bolchini Cognome Nome

Dettagli

1 Esercizi con architettura a 1 bus

1 Esercizi con architettura a 1 bus 1 Esercizi con architettura a 1 bus 1.1 Fetch dell istruzione NOTA: l istruzione ClearY azzera il registro Y mentre l istruzione CB imposta a 1 il bit di riporto/prestito in modo da sommare/sottrarre 1.

Dettagli

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE

RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE RELAZIONE DEL PROGETTO DI UN CONTATORE BINARIO UP/DOWN MODULO 4 PER IL CORSO DI APPARATI ELETTRONICI 1. INTRODUZIONE In generale un contatore è un dispositivo che memorizza (e a volte visualizza) il numero

Dettagli

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management

Facoltà di Ingegneria Corso di Studi in Ingegneria Informatica. Metodologie e strumenti per il reengineering del workflow management Descrizione di Macchine a Stati finiti in VHDL Descrizioni di Macchine a Stati finiti in VHDL In questa lezione vedremo come un sistema digitale sequenziale può essere descritto in VHDL. Outline: Macchine

Dettagli

Esercizio 4.3. Esercizio 4.4

Esercizio 4.3. Esercizio 4.4 4 Esercizio 4.3 La rete di Figura 4.1 del testo è un latch realizzato con porte NOR. Sostituendo le porte NOR con porte NAND si ottiene la rete di Figura 4.1. Figura 4.1 Rete dell Esercizio 4.3. Nella

Dettagli

Circuiti sequenziali e latch

Circuiti sequenziali e latch Circuiti sequenziali e latch Prof. Alberto Borghese Dipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano A.A. 23-24 /27 Sommario Circuiti sequenziali Latch asincroni

Dettagli

Introduzione al VHDL Lezione 1

Introduzione al VHDL Lezione 1 Introduzione al VHDL Lezione 1 Cristina Silvano Università degli Studi di Milano Dipartimento di Scienze dell Informazione Via Comelico 39/41, I-20135 Milano (Italy) Tel.: +39-2-5835-6306 e-mail: silvano@elet.polimi.it

Dettagli

di correggere 2 bit, purché siano contigui

di correggere 2 bit, purché siano contigui UNIVERSITÀ EGLI STUI I CAMERINO - LAUREA IN INFORMATICA I Q U E S T I O N A R I O 1) Quali tra le seguenti rappresenta il valore corretto di un codice di Hamming con h=3 del valore originario: 0x2B18 a)

Dettagli

Corso di Elettronica Digitale. Display decoder a 7 segmenti con le mappe di Karnaugh

Corso di Elettronica Digitale. Display decoder a 7 segmenti con le mappe di Karnaugh Corso i Elettronica Digitale Display ecoer a 7 segmenti con le mappe i Karnaugh Anrea Di Salvo A.A. 23/24 Che cos'è? Per un singolo moulo, è una rappresentazione i interi a a 9 (e eventualmente i alcuni

Dettagli

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore)

Riepilogo su FSM. Descrizione di macchine a stati tramite VHDL. Esempio di FSM (Moore) Esempio di FSM (Moore) Riepilogo su FSM Descrizione di macchine a stati tramite VHDL M. Favalli Engineering Department in Ferrara FSM: i) insieme finito di simboli di ingresso; ii) insieme finito di simboli di uscita; iii) un

Dettagli

Obbiettivi del Modulo

Obbiettivi del Modulo 1 Obbiettivi del Modulo Introdurre i costrutti strutturali Utilizzo dei components Dichiarazione Instanza Indicazioni sulla connessione dei componenti Utilizzo delle dichiarazioni di configurazioni Istruzione

Dettagli

Circuiti sequenziali: macchine a stati finiti

Circuiti sequenziali: macchine a stati finiti Architettura degli Elaboratori e delle Reti Lezione 9 Circuiti sequenziali: macchine a stati finiti Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell nformazione Università degli Studi di Milano

Dettagli

Flip flop: tempificazione latch ed edge-triggered

Flip flop: tempificazione latch ed edge-triggered Corso di Calcolatori Elettronici I A.A. 2010-2011 Flip flop: tempificazione latch ed edge-triggered Lezione 23-26 Università degli Studi di Napoli Federico II Facoltà di Ingegneria I flip flop - 1 Generalità

Dettagli

Corso di Sistemi di Elaborazione A.A. 2008/2009

Corso di Sistemi di Elaborazione A.A. 2008/2009 Università di Ferrara Facoltà di Ingegneria Docente: Ing. Massimiliano Ruggeri Mail: m.ruggeri@imamoter.cnr.it mruggeri@ing.unife.it Tel. 0532/735631 Corso di Sistemi di Elaborazione A.A. 2008/2009 Durata:

Dettagli

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche

Calcolatori Elettronici T. Complementi ed Esercizi di Reti Logiche Calcolatori Elettronici T Complementi ed Esercizi di Reti Logiche Stefano Mattoccia Ricevimento : su appuntamento via email Telefono : 051 2093860 Email : stefano.mattoccia@unibo.it Web : www.vision.deis.unibo.it/smatt

Dettagli

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15

PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 PROGRAMMA DI ELETTRONICA classe 3B a.s. 2014/15 Caratteristiche elettriche dei materiali Leggi di Ohm Generatori di tensione e di corrente Resistori in serie e in parallelo Partitori di tensione e di corrente

Dettagli

PSPICE Circuiti sequenziali principali

PSPICE Circuiti sequenziali principali PSPICE Circuiti sequenziali principali Davide Piccolo Riccardo de Asmundis Elaboratori 1 Circuiti Sequenziali Tutti i circuiti visti fino ad ora erano circuiti combinatori, ossia circuiti in cui lo stato

Dettagli

Architettura di un calcolatore: introduzione

Architettura di un calcolatore: introduzione Corso di Calcolatori Elettronici I Architettura di un calcolatore: introduzione Prof. Roberto Canonico Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie

Dettagli

CONTATORI ASINCRONI. Fig. 1

CONTATORI ASINCRONI. Fig. 1 CONTATORI ASINCRONI Consideriamo di utilizzare tre Flip Flop J K secondo lo schema seguente: VCC Fig. 1 Notiamo subito che tuttigli ingressi J K sono collegati alle Vcc cioe allo stato logico 1, questo

Dettagli

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento Capitolo 7 Reti sicroe 7 Retroazioi co flipflop 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Retroazioi co flipflop Comportameto Il modello della rete sicroa istati di sicroismo e itervalli elemetari di

Dettagli

Nonostante l avvento delle più moderne

Nonostante l avvento delle più moderne di terminati ad entrambe le estremità con un impedenza di questo valore. Come già detto se si vuole ottenere la massima luminosità di uno dei segnali di colore occorre fornire circa 0.7V. Per fare questo

Dettagli

Università degli Studi di Milano

Università degli Studi di Milano Università degli Studi di Milano Laurea in Informatica e Sicurezza dei sistemi e delle reti informatiche Elementi di VHDL STEFANO FERRARI Architetture e reti logiche Elementi di VHDL Pagina 2 di 68 Indice

Dettagli

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI

CORSO DI ELETTRONICA DEI SISTEMI DIGITALI CORSO DI ELETTRONICA DEI SISTEMI DIGITALI Capitolo 1 Porte logiche in tecnologia CMOS 1.0 Introduzione 1 1.1 Caratteristiche elettriche statiche di un transistore MOS 2 1.1.1 Simboli circuitali per un

Dettagli

Reti Logiche A Esame del 19 febbraio 2007

Reti Logiche A Esame del 19 febbraio 2007 Politecnico di Milano Dipartimento di Elettronica e Informazione prof.ssa Anna Antola prof. Fabrizio Ferrandi Reti Logiche A Esame del 9 febbraio 007 Matricola prof.ssa ristiana Bolchini Esercizio n. Data

Dettagli

CIRCUITO DI TEST E SOFTWARE UTILIZZATI

CIRCUITO DI TEST E SOFTWARE UTILIZZATI CIRCUITO DI TEST E SOFTWARE UTILIZZATI Circuito di Test Nello schema elettrico di figura A è rappresentato un circuito, realizzabile anche senza l ausilio di un circuito stampato, che consente di testare

Dettagli

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica

Dispositivi riconfigurabili. Reti Logiche T Ingegneria Informatica Dispositivi riconfigurabili Reti Logiche T Ingegneria Informatica 1 Dispositivi riconfigurabili Field Programmable Gate Array (FPGA), dispositivi programmabili/configurabili mediante linguaggi di programmazione

Dettagli

Parte 1. Vettori di bit - AA. 2012/13 1.1

Parte 1. Vettori di bit - AA. 2012/13 1.1 1.1 Parte 1 Vettori di bit 1.2 Notazione posizionale Ogni cifra assume un significato diverso a seconda della posizione in cui si trova Rappresentazione di un numero su n cifre in base b: Posizioni a n

Dettagli

Logica sequenziale. I dispositivi logici si suddividono in due famiglie principali:

Logica sequenziale. I dispositivi logici si suddividono in due famiglie principali: Logica sequenziale I dispositivi logici si suddividono in due famiglie principali: Logica combinatoriale L uscita all istante tn dipende unicamente dallo stato degli ingressi sempre al tempo t n ( interni

Dettagli

Laboratorio di Calcolatori Elettronici

Laboratorio di Calcolatori Elettronici Laboratorio di Calcolatori Elettronici Introduzione al VHDL Progettare utilizzando VHDL: dall esempio al costrutto 1 di 72 Ringraziamenti Questo dispensa è stata sviluppata nell ambito del corso di Calcolatori

Dettagli

Flip-flop Macchine sequenziali

Flip-flop Macchine sequenziali Flip-flop Macchine sequenziali Introduzione I circuiti digitali possono essere così classificati Circuiti combinatori Il valore delle uscite ad un determinato istante dipende unicamente dal valore degli

Dettagli

ARCHITETTURA DEI SISTEMI DI ELABORAZIONE

ARCHITETTURA DEI SISTEMI DI ELABORAZIONE ARCHITETTURA DEI SISTEMI DI ELABORAZIONE Progetto di una ALU a 16 bit Sommario Progetto di una ALU a 16 bit... 1 Introduzione... 2 Specifiche... 3 Implementazione... 3 Button Manager... 7 Terminale...

Dettagli

Esercizio 4.3. Esercizio 4.4

Esercizio 4.3. Esercizio 4.4 4 Esercizio 4.3 La rete di Figura 4.1 del testo è un latch realizzato con porte NOR. Sostituendo le porte NOR con porte NAND si ottiene la rete di Figura 4.1. Figura 4.1 Rete dell Esercizio 4.3. Nella

Dettagli

CPU a ciclo multiplo

CPU a ciclo multiplo Architettura degli Elaboratori e delle Reti Lezione CPU a ciclo multiplo Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L /9 Sommario! I problemi

Dettagli

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie

Capitolo 6. Reti asincrone. Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie apitolo 6 Reti asincrone Elaborazione asincrona Procedimenti di sintesi e analisi Memorie binarie Reti sequenziali asincrone (comportamento) Elaborazione asincrona - Ogni nuovo ingresso determina: una

Dettagli

MANIFESTO DEGLI STUDI

MANIFESTO DEGLI STUDI Politecnico di BARI MANIESTO EGLI STUI AOLTÀ I ipartimento di Ingegneria Elettrica e dell'informazione orso di Studio: INGEGNERIA ELETTRIA (.M. 270/04) ORINAMENTO 2013/2014 orso di Laurea Magistrale lasse:

Dettagli

CPU pipeline hazards

CPU pipeline hazards Architettura degli Elaboratori e delle Reti Lezione 23 CPU pipeline hazards Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 23 /24 Sommario!

Dettagli

ELETTROTECNICA Indirizzo formativo

ELETTROTECNICA Indirizzo formativo Dipartimeto di Igegeria Idustriale ELETTROTECNICA Idirizzo formativo Programma del corso Iformazioi geerali Prof. Alvise Maschio Dipartimeto di Igegeria Idustriale Uiversità di Padova 02/03/16 1/14 Dipartimeto

Dettagli

CPU a ciclo multiplo

CPU a ciclo multiplo Architettura degli Elaboratori e delle Reti Lezione CPU a ciclo multiplo Proff. A. Borghese, F. Pedersini Dipartimento di Scienze dell Informazione Università degli Studi di Milano L 1/8 Sommario! I problemi

Dettagli

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT

Elettronica Digitale. 1. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Elettronica Digitale. Sistema binario 2. Rappresentazione di numeri 3. Algebra Booleana 4. Assiomi A. Booleana 5. Porte Logiche OR AND NOT Paragrafi del Millman Cap. 6 6.- 6.4 M. De Vincenzi AA 9- Sistema

Dettagli

Matematica. Imparare le moltiplicazioni per multipli di 10 e 100. Risposte. Nome:

Matematica. Imparare le moltiplicazioni per multipli di 10 e 100. Risposte. Nome: 1) If 5 3 = 15, than 500 3 = 1500 2) If 5 8 = 40, than 500 8 = 4000 3) If 10 1 = 10, than 100 1 = 100 4) If 1 5 = 5, than 10 5 = 50 5) If 10 6 = 60, than 100 6 = 600 6) If 2 4 = 8, than 20 4 = 80 7) If

Dettagli

L unità di controllo. Il processore: unità di controllo. Le macchine a stati finiti. Struttura della macchina a stati finiti

L unità di controllo. Il processore: unità di controllo. Le macchine a stati finiti. Struttura della macchina a stati finiti Il processore: unità di lo Architetture dei Calcolatori (lettere A-I) L unità di lo L unità di lo è responsabile della generazione dei segnali di lo che vengono inviati all unità di elaborazione Alcune

Dettagli

CPU a singolo ciclo: l unità di controllo, istruzioni tipo J

CPU a singolo ciclo: l unità di controllo, istruzioni tipo J Architettura degli Elaboratori e delle Reti Lezione 9 CPU a singolo ciclo: l unità di controllo, istruzioni tipo J Pro. A. Borghese, F. Pedersini Dipartimento di Scienze dell Inormazione Università degli

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali - I circuiti sequenziali sono caratterizzati dal fatto che, in un dato istante tn+1 le uscite dipendono dai livelli logici di ingresso nell'istante tn+1 ma anche dagli stati assunti

Dettagli

Facoltà di Ingegneria Corso di Laurea in Ingegneria delle Telecomunicazioni. Relazione Progetto del Corso di Elettronica Dei Sistemi Digitali

Facoltà di Ingegneria Corso di Laurea in Ingegneria delle Telecomunicazioni. Relazione Progetto del Corso di Elettronica Dei Sistemi Digitali Facoltà di Ingegneria Corso di Laurea in Ingegneria delle Telecomunicazioni Relazione Progetto del Corso di Elettronica Dei Sistemi Digitali Decodificatore Morse Professore: Prof. R. Passerone. Studenti:

Dettagli

Probabilità e Statistica Esercitazioni. a.a. 2006/2007

Probabilità e Statistica Esercitazioni. a.a. 2006/2007 Probabilità e Statistica Esercitazioi a.a. 2006/2007 C.d.L.: Igegeria per l Ambiete ed il Territorio, Igegeria Civile, Igegeria Gestioale, Igegeria dell Iformazioe C.d.L.S.: Igegeria Civile Estrazioi-II

Dettagli

LSS Reti Logiche: multivibratori e T555

LSS Reti Logiche: multivibratori e T555 LSS 2016-17 Reti Logiche: multivibratori e T555 Piero Vicini A.A. 2016-2017 Multivibratori Un multivibratore e un circuito che presenta per l uscita solo due stati stabili e/o metastabili. Il circuito

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali Docente teoria: prof. Federico Pedersini (https://homes.di.unimi.it/pedersini/ae-inf.html) Docente laboratorio: Matteo Re (https://homes.di.unimi.it/re/arch1-lab-2015-201.html) Sito

Dettagli