Descrizione VHDL di componenti sequenziali

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Descrizione VHDL di componenti sequenziali"

Transcript

1 Descrizioe VHDL di compoeti seueziali 14 giugo Registri I registri soo ua famiglia di compoeti utilizzati per la memorizzazioe. Il loro fuzioameto dipede uidi, oltre che dai segali di igresso, ache dalla loro storia passata. Questa proprietà è caratteristica dei circuiti seueziali. L elemeto di memoria miimale è uello che cosete la memorizzazioe di u bit. Due soo le famiglie pricipali che realizzao uesto comportameto: i flip-flop ed i latch. Essi sarao utilizzati per costruire elemeti di memoria più capaci e co fuzioalità più complesse. 1.1 Flip-flop di tipo D Il flip-flop di tipo D è caratterizzato da due liee di igresso (ella fig. 1 idicati come d e ) e da ua liea di uscita (). Il segale di igresso viee detto clock e govera la modifica dello stato del segale di uscita: l uscita assume il valore dell igresso d solo i preseza di u frote di salita del clock (cioè i corrispodeza di u cambiameto del segale da 0 a 1). Ciò sigifica che se il clock o cambia di valore (e precisamete passado da 0 a 1), il segale di igresso d o avrà alcu effetto sull uscita. Per uesto motivo uesto flip-flop viee ache chiamato edge triggered. Va otato che la forma del segale di clock o viee i alcu modo specificata. Dal puto di vista puramete teorico, il clock potrebbe assumere ualsiasi forma d oda. Tuttavia, tipicamete il clock è u segale periodico che assume i u semiperiodo il valore 0 e ell altro semiperiodo il valore 1. Esso serve a sicroizzare i compoeti di uo stesso circuito ( detta i tempi ). U comportameto come uello dell aggiorameto dell uscita del flip-flop viee detta sicroo, i uato avviee i sicroia co il segale di clock. 1

2 d Figura 1: Rappresetazioe schematica di u flip-flop. è: La descrizioe dell etity VHDL corrispodete al compoete i fig. 1 etity FF_D is d, : i bit; : out bit); ed FF_D; Ci soo due modi per implemetare i VHDL il comportameto di u flip-flop D: architecture behav1 of FF_D is process () if ( evet ad = 1 ) the <= d; ed process; ed behav1; architecture behav2 of FF_D is process -- o sesitivity list wait util evet ad = 1 ; <= d; ed process; ed behav2; Etrambe le architecture descrivoo il flip-flop i stile comportametale e utilizzao il costrutto process per gestire la dipedeza da segale di clock. L architecture behav1 fa uso della sesitivity list del processo per esplicitare la sicroizzazioe del segale di uscita,, dal segale di clock,, metre L architecture behav2 o riposta alcu segale i sesitivity list, ma lascia che il processo rimaga i attesa di u frote di salita sul segale. Va otata l espressioe usata per descrivere il frote di salita del clock: evet ad = 1. evet idica l attributo evet del segale. Esso assume il valore booleao vero solo ell istate i cui il segale cambia di valore. L espressioe evet ad = 1 va uidi letta 2

3 come: il valore del segale è appea cambiato e il suo valore è 1. Tale situazioe si verifica solo i preseza di u frote di salita del segale. 1.2 Registri a bit Compoedo flip-flop, si possoo costruire registri a bit: basta mettere i flip-flop i parallelo sullo stesso clock, come rappresetato i figura fig. 2. d0 d1 d2 d3 d[0.. 1] [0.. 1] d d d d (a) (b) Figura 2: (a) U registro a bit. (b) Registro a 4 bit costruito utilizzado 4 flip-flop di tipo D. Il circuito i fig. 2b può essere descritto i VHDL strutturle come segue: etity REG4 is d: i bit_vector(0 to 3); : i bit; : out bit_vector(0 to 3)); ed REG4; architecture STRUCT of REG4 is compoet FF_D d, : i bit; : out bit); ed compoet; 3

4 u1: FF_D port map (d(0),,(0)); u2: FF_D port map (d(1),,(1)); u3: FF_D port map (d(2),,(2)); u4: FF_D port map (d(3),,(3)); ed STRUCT; 1.3 Flip-flop di tipo SR U altro tipo di flip-flop è il flip-flop di tipo SR (fig. 3), che deve il suo ome alla preseza di due segali di igresso, set e reset, la cui fuzioe è uella di impostare il segale di uscita a 1 o a 0, rispettivamete. s r Figura 3: Rappresetazioe schematica del flip-flop di tipo SR. La descrizioe dell etity VHDL che descrive il compoete i figura 3 è la seguete: etity FF_set_reset is s, r, : i std_logic; : out std_logic); ed FF_set_reset; I u flip-flop di tipo SR le operazioi di set e reset soo sicroe. La seguete tabella delle verità descrive uesto comportameto: s r X Il simbolo idica il frote di salita del segale, metre il simbolo X sigifica che il segale o è defiito (ciò accade uado i segali di set e reset vegoo attivati cotemporaeamete). Il comportameto descritto ella tabella precedete viee descritto dal seguete VHDL comportametale: 4

5 architecture BEHAV of FF_set_reset is process () if ( evet ad = 1 ) the if (s= 1 ad r= 1 ) the <= X ; elseif (r= 1 ) the <= 0 ; elseif (s= 1 ) the <= 1 ; ed process; ed BEHAV; L utilizzo del tipo std_logic aziché bit cosete di specificare il comportameto idefiito i occasioe della cotemporaeità dell attivazioe del segale di set e di uello di reset (oché del frote di salita). 1.4 Latch La differeza tra u FF e u latch è che l uscita del latch riproduce il valore del segale d igresso per tutto il tempo i cui il segale di clock assume il valore alto. Il segale di clock assume pertato il sigificato di u segale abilitatore o iibitore e sarà per uesto idicato co il ome di eable el seguito. d eable Figura 4: Latch di tipo D. Il latch riportato i fig. 4 può essere descritto dalla seguete etity VHDL: etity latch_d is d, eable: i std_logic; : out std_logic); ed latch_d; Il latch viee detto trasparete uado il segale eable è alto e viee detto opaco uado il segale eable è basso. Questo comportameto è descritto dal seguete codice VHDL: 5

6 architecture behaviour of latch_d is process (eable) if (eable = 1 ) the <= d; ed process; ed behaviour; 1.5 SR latch Aalogamete alla famiglia dei flip-flop, esistoo diverse variati per i latch. Fra ueste, il latch di tipo SR, il uale viee cotrollato tramite u segale di set e uo di reset (fig. 5). Data l asseza di ua segale di clock, si tratta uidi di ua rete asicroa. s r Il fuzioameto è il seguete: Figura 5: Latch di tipo SR uado s vale 1, viee posto ad 1 (a tale valore rimae ache uado s tora a 0); uado r vale 1, viee posto ad 0 (a tale valore rimae ache uado reset tora a 0); uado sia s sia r valgoo 0, il valore di o cambia; uado sia s sia r valgoo 1, il valore di è idefiito. Ciò viee descritto dalla seguete tabella di verità: s r X Il latch di tipo SR può essere descritto mediate il seguete codice VHDL: 6

7 etity latch_sr is s, r: i std_logic; : out std_logic); ed latch_sr; architecture behaviour of latch_sr is process (s, r) if (s = 1 ad r = 0 ) the <= 1 ; elseif (s = 0 ad r = 1 ) the <= 0 ; elseif (s = 1 ad r = 1 ) the <= X ; ed process; ed behaviour; 1.6 Registro co reset asicroo È possibile realizzare variati dei compoeti fi ui presetati limitado la sicroizzazioe solo ad alcue operazioi. Per esempio, u flip-flop co reset asicroo è descritto dal seguete codice VHDL: -- i uesto caso il reset e prioritario! architecture behaviour of FF_asicr_reset is process (, r) if (r= 1 ) the <= 0 ; elseif ( evet ad = 1 ) the if (s= 1 ) the <= 1 ; ed process; ed behaviour; dove l etity FF_asicr_reset è idetica all etity FF_set_reset Da otare che il segale r è stato aggiuto ella sesitivity list e che la seueza dei costrutti if rispecchia la priorità data agli eveti. 7

8 2 Cotatore I registri soo elemeti esseziali per costruire i cotatori. Questi ultimi formao ua famiglia di compoeti seueziali molto variegata. Nella versioe più semplice (fig. 6), il cotatore ha la caratteristica di icremetare periodicamete l uscita di 1. L uscita è costituita da ua seueza di bit e può pertato essere utilizzata per deotare u umero i otazioe biaria. Gli icremeti avvegoo solo ogi frote di clock. out Figura 6: Cotatore a bit. Ad esempio, el caso di u cotatore a 4 bit di uscita, ipotizzado che il segale di out valga iizialmete 0000, avremmo uesto adameto: # froti out e così via. U cotatore come uello visto ell esempio, cioè co 4 bit di uscita, viee chiamato cotatore modulo 16. Tale compoete cota fio al umero biario 1111 (15 i base 10) e poi riparte da 0000 (0 i base 10). U cotatore modulo ha perciò stati. Normalmete il cotatore ha alcue ulteriori fuzioalità, date dalla preseza di segali addizioali. I fig. 7 e soo riportati alcui: reset riporta l uscita al valore miimo idicabile, e cioè 0 (che i base biaria si idica co ua striga di zeri); set riporta l uscita al valore massimo idicabile, e cioè 2 1 (che i base biaria si idica co ua striga di uo); up/dow idica al cotatore i uale direzioe cotare: se ascedete oppure discedete; eable idica al couter se cotare oppure o el correte ciclo di clock; data i/load porta i uscita la seueza di bit immessa dal segale data i ua volta attivato il segale di load. v 8

9 Le operazioi di set, reset e caricameto possoo essere (i modo idipedete l ua dall altra) i sicroia co il clock oppure i modo asicroo. Questo fatto dà luogo a molteplici variati del compoete cotatore. reset set load data i out up/dow eable Figura 7: Cotatore a bit co segali addizioali Il seguete codice VHDL descrive u cotatore a 8 bit co reset asicroo. etity cot8 is port(, reset: i std_logic; outa: out std_logic_vector(0 to 7)); ed cot8; architecture rtl of cot8 is sigal t: std_logic_vector(0 to 7); process(, reset) if (reset = 1 ) the t <= " "; elseif ( evet ad = 1 ) the t <= t + " "; ed process; outa <= t; ed rtl; Il segale t viee utilizzato per gestire l icremeto. Esso appare sia a destra che a siistra dell operatore di assegameto. Al suo posto o può perciò essere usato u segale i modalità i perché o potrebbe essere a siistra, é u segale i modalità out perché o potrebbe essere a destra dell operatore di assegameto. Da otare l uso di std_logic per poter effettuare le operazioi di somma su seueze di bit. 9

10 La versioe sicroa dell operazioe di reset può essere descritta i VHDL portado il test sul segale reset all itero del codice eseguito dopo il test sul frote di salita del segale. Ioltre, il segale reset può essere tolto dalla sesitivity list. Di seguito è riportato il codice VHDL di u cotatore che iclude le modifiche sopra descritte, co l aggiuta del segale updow per goverare la direzioe del coteggio. etity couter is port(, reset, updow: i std_logic; outa: out std_logic_vector(0 to 7)); ed couter; architecture sicr_reset of couter is sigal t: std_logic_vector(0 to 7); process(clock) if ( evet ad = 1 ) the if (reset = 1 ) the t <= " "; elseif (updow = 1 ) the t <= t + " "; else t <= t - " "; ed process; <= t; ed sicr_reset; 2.1 Cotatore completo Il cotatore può essere descritto come composizioe di compoeti fi ui visti. La fig. 8 illustra uesto cocetto. Alla fie della catea di compoeti, si trova u registro. La sua fuzioe è mateere i memoria il valore i del segale i uscita, out e di aggiorarlo ad ogi frote di salita del segale di clock,. Ioltre, vegoo forite le fuzioalità di set e reset. Il segale di igresso del registro viee forito da u multiplexer che, regolato dal segale load, selezioa il valore dell uscita del registro al battito di clock precedete opportuamete icremetato o il valore del segale data i. L icremeto del valore di out viee effettuato da u addizioatore, che, oltre al segale di out, riceve i igresso u segale co il valore da impostato dai segali eable e up/dow tramite due multiplexer i cascata. Il segale eable posto 10

11 a zero, ha l effetto di porre il segale di icremeto a zero, i modo da iibire la modifica del valore dell uscita. Il segale up/dow alto poe il valore di icremeto pari a +1, metre lo poe a -1 se basso. Da otare che i valori 0, +1 e -1 devoo essere rappresetati come ua opportua seueza di bit out up/dow eable data i load reset set Figura 8: Visioe sistemistica di u cotatore. Più i dettaglio, le fuzioalità di reset e set possoo essere realizzate poedo il segale di igresso del registro ripettivamete i ad co il segale di reset ivertito e i or co il segale di set. Questa descrizioe del cotatore può essere riportata uasi pedisseuamete i VHDL, usado lo stile strutturale: etity cot_full_sist is port(, set, reset, load, eable, updow: i std_logic; datai: i std_logic_vector(0 to 7); outa: out std_logic_vector(0 to 7)); ed cot_full_sist; architecture struct of cot_full_sist is compoet REG8 -- registro da 8 bit, set, reset : i std_logic; d : i std_logic_vector (0 to 7); : out std_logic_vector (0 to 7)); ed compoet; compoet MUX2_8 -- multiplexer a 2 igressi da 8 bit a, b : i std_logic_vector (0 to 7); sel : i std_logic; : out std_logic_vector (0 to 7)); 11

12 -- vale a se sel vale 1, b altrimeti ed compoet; compoet ADDER_8 -- sommatore da 8 bit a, b : i std_logic_vector (0 to 7); r : out std_logic_vector (0 to 7)); ed compoet; sigal t1,t2,t3,t4,t5 : std_logic_vector (0 to 7); -- i segueti segali svolgoo la fuzioe di costati sigal zero, piu_uo, meo_uo: std_logic_vector (0 to 7); -- outa o puo essere usato i modalita "i" come richiesto dalla -- port map dell adder: viee usato t3 al suo posto registro: REG8 port map (,set,reset,t1,t3); load_mux: MUX2_8 port map (datai,t2,load,t1); adder: ADDER_8 port map (t3,t4,t2); eable_mux: MUX2_8 port map (t5,zero,eable,t4); updow_mux: MUX2_8 port map (piu_uo,meo_uo,updow,t5); outa <= t3; -- NB: esiste u modo piu corretto di idicare le costati i VHDL, -- ma o e stato visto a lezioe zero <= " "; piu_uo <= " "; meo_uo <= " "; -- "-1" i complemeto a 2 ed struct; Da otare che i segali iteri t1 t5 vegoo usati per coettere i compoeti, metre i segali zero, piu_uo, meo_uo soo usati impropriamete per defiire dei segali costati (esistoo costrutti più appropriati per descrivere valori costati, ma o rietrao el sottoisieme di VHDL visto a lezioe). 3 Registro a scorrimeto Il registro a scorrimeto (shift register) è costituito da ua catea di flip-flop i cascata. Nella sua versioe più semplice (fig. 9), il registro a scorrimeto ha il seguete fuzioameto: ad ogi colpo di clock, ogi flip-flop passa il proprio valore al successivo ed il primo flip-flop della catea assume il valore del segale di igresso d. Il valore precedetemete memorizzato ell ultimo flip-flop viee assegato al segale di uscita del registro a scorrimeto,. Il compoete i fig. 9 può essere descritto i VHDL dalla seguete etità: 12

13 d Figura 9: Registro a scorrimeto etity ShiftRegister is d, : i std_logic; : out std_logic); ed ShiftRegister; Da otare che la lughezza della catea di flip-flop che compogoo il registro a scorrimeto o compare i alcu modo ella dichiarazioe di etity (e emmeo ello schema i fig. 9). Il umero di flip-flop impiegati costituisce la capacità del registro a scorrimeto e, secodo la descrizioe sopra data, rappreseta il umero di colpi di clock che bisoga attedere perchè u segale di igresso vega presetato i uscita. Il fuzioameto di u registro a scorrimeto a 4 bit può essere descritto come segue: architecture behav of ShiftRegister is sigal t : std_logic_vector(0 to 3); process () if evet ad = 1 the -- frote di salita del clock t <= d & t(0 to 2); <= t(3); ed process; ed behav; Il modello semplice del registro a scorrimeto fi ui illustrato può essere arricchito di fuzioalità. Ciò richiede, aalogamete al caso del cotatore, l aggiuta di alcui segali addizioali: reset imposta i valori dei flip-flop vegoo impostati a 0 ; set imposta i valori dei flip-flop vegoo impostati a 1 ; right/lef t idica i che direzioe effettuate lo scorrimeto; eable abilita oppure disabilita lo scorrimeto el correte ciclo di clock; data i/load imposta el registro la seueza di bit immessa dal segale data i ua volta attivato il segale di load; 13

14 data out porta all estero i valori di uscita di tutti i flip-flop, cosetedo ua lettura parallela del coteuto dello shift register. Co l aggiuta dei segali data i/load e data out, il registro a scorrimeto pouò essere utilizzato per la coversioe seriale/parallela dell elaborzioe. Come per il cotatore, le operazioi sullo shift register possoo essere sicroe o asicroe, a secoda della modalità co cui possoo essere effettuate: se vegoo permesse solo i coicideza di u frote di salita, vegoo dette sicroe; se possoo essere effettuate i ogi istate, vegoo dette asicroe. Il seguete codice VHDL descrive uo shift register a 4 bit co reset asicroo e load sicroo: etity shift_reg is d,, load, reset : i bit; datai : i bit_vector (0 to 3); : out bit); ed shift_reg; architecture reset_asicr of shift_reg is sigal t : std_logic_vector(0 to 3); process (,reset) if reset = 1 the t <= "0000"; elseif evet ad = 1 the -- frote di salita del clock if load = 1 the t <= datai; else t <= d & t(0 to 2); <= t(3); ed process; ed reset_asicr; L operazioe di scorrimeto dei valori dei flip-flop può essere descritta ache tramite u costrutto di ciclo for-loop: architecture reset_asicr of shift_reg is sigal t : std_logic_vector(0 to 3); process (,reset) if reset = 1 the t <= "0000"; 14

15 elseif evet ad = 1 the -- frote di salita del clock if load = 1 the t <= datai; else for i i 0 to 2 loop t(i+1) <= t(i); ed loop; t(0) <= d; <= t(3); ed process; ed reset_asicr; Il fuzioameto del registro a scorrimeto può essere descritto i termii di composizioe di compoeti stadard elemetari. Per esempio, i fig. 10 è illustrato uo schema a blocchi che descrive u registro a scorrimeto a 4 bit co segali di set e reset utilizzado uattro flip-flop di tipo D (dotati ach essi di segali set e reset). Esso può essere descritto i VHDL strutturale come segue: etity sh_reg_sr is d,, set, reset : i bit; : out bit); ed sh_reg_sr; architecture struct of sh_reg_sr is compoet ffd_sr d,, set, reset : i bit; : out bit); ed compoet; sigal t1, t2, t3 : bit; -- struct reg0 : ffd_sr port map (d,, set, reset, t1); reg1 : ffd_sr port map (t1,, set, reset, t2); reg2 : ffd_sr port map (t2,, set, reset, t3); reg3 : ffd_sr port map (t3,, set, reset, ); ed struct; Da otare che la modalità co cui operao i segali set e reset dipedoo dall implemetazioe del compoete ffd_sr: ad esempio, se ffd_sr ha il reset asicroo, ache sh_reg_sr lo avrà. La fig. 11 illustra, mediate uo schema a blocchi, ua realizzazioe di u registro a scorrimeto a 4 bit co caricameto di dati i parallelo. Questa azioe è cotrollata dal segale load, il uale, agedo su ua serie di 15

16 d reset set Figura 10: Schema a blocchi di u registro a scorrimeto a 4 bit co segali di set e reset. multplexer, selezioa l igresso per i flip-flop: se load vale 0, il registro a scorrimeto ha il fuzioameto caratteristico, metre se load vale 1, agli igressi dei flip-flop vegoo presetati i valori portati dal bus datai. Questo circuito può essere descritto i VHDL strutturale come segue: etity sh_reg_load is d,, load : i bit; datai : i bit_vector (0 to 3); : out bit); ed sh_reg_load; architecture struct of sh_reg_load is compoet ffd d, : i bit; : out bit); ed compoet; compoet mux a, b, sel: i bit; z: out bit); ed compoet; sigal t1, t2, t3, t4, t5, t6, t7: bit; -- struct reg0 : ffd port map (t4,,t1); reg1 : ffd port map (t5,,t2); reg2 : ffd port map (t6,,t3); reg3 : ffd port map (t7,,); mux0 : mux port map (d,datai(0),load,t4); mux1 : mux port map (t1,datai(1),load,t5); mux2 : mux port map (t2,datai(2),load,t6); 16

17 d data i[0] data i[1] data i[2] data i[3] load Figura 11: Schema a blocchi di u registro a scorrimeto a 4 bit co caricameto i parallelo. 17

18 mux3 : mux port map (t3,datai(3),load,t7); ed struct; 18

Componenti sequenziali in VHDL

Componenti sequenziali in VHDL Compoeti seueziali i VHDL Architetture e reti logiche Esercitazioi VHDL a.a. 2005/06 Compoeti seueziali i VHDL Stefao Ferrari Uiversità egli Stui i Milao Dipartimeto i Tecologie ell Iformazioe Stefao Ferrari

Dettagli

Circuiti sequenziali. Capitolo 5

Circuiti sequenziali. Capitolo 5 Circuiti sequeziali Capitolo 5 Itroduzioe Nei circuiti combiatori L uscita dipede solo dagli igressi La variabile temporale o appare esplicitamete Nei circuiti sequeziali L uscita dipede dalla storia passata

Dettagli

Esercizi su Reti Sincrone e Asincrone. Reti Logiche L-A A.A /11/03 1

Esercizi su Reti Sincrone e Asincrone. Reti Logiche L-A A.A /11/03 1 A.A. 22-23 Reti Logiche L-A Esercizi su Reti Sicroe e Asicroe 6//3 Esercizio Eseguire l aalisi della rete sequeziale asicroa idicata i figura. 6//3 2 a) Idividuare le espressioi di stato e di uscita. Y

Dettagli

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03)

Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) Esercizi VHDL nelle prove d esame di Architettura degli elaboratori (a.a. 2002/03) 18 settembre 2003 Prova del 9 giugno 2003 Descrizione VHDL (a scelta, dataflow o comportamentale) di un decoder per il

Dettagli

5 ELEMENTI DI MEMORIA

5 ELEMENTI DI MEMORIA 5.1 5 ELEMENTI DI MEMORIA 5.1 Fuzioi sequeziali Cosideriamo il circuito di fig. 5.1.1. Figura 5.1.1 Costruiamoe la tavola della verità, tabella 5.1.1, el modo usuale usato per le fuzioi combiatorie. Tabella

Dettagli

Domande di Reti Logiche compito del 17/02/2016

Domande di Reti Logiche compito del 17/02/2016 Barrare ua sola risposta per ogi domada Il puteggio fiale è -1 (. di risposte errate +. domade lasciate i biaco) Usare lo spazio biaco sul retro del foglio per apputi, se serve Per far sì che u Latch SR

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori Marco Tarii - iversità dell'isubria.. 2017/18 iversità degli Studi dell Isubria Dipartimeto di Scieze Teoriche e pplicate rchitettura degli elaboratori Register File Marco Tarii Dipartimeto di Scieze Teoriche

Dettagli

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento Capitolo 7 Reti sicroe 7 Retroazioi co flipflop 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Retroazioi co flipflop Comportameto Il modello della rete sicroa istati di sicroismo e itervalli elemetari di

Dettagli

Prova parziale 30 aprile 2018 Possibili soluzioni

Prova parziale 30 aprile 2018 Possibili soluzioni Prova parziale 30 aprile 2018 Possibili soluzioi Primo compito Rappresetare il umero -5 el sistema di rappresetazioe i eccesso a 16 su 5 bit. +5 i otazioe posizioale: 00101-5 i complemeto a due: 11011-5

Dettagli

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. Il campionamento con un fronte. Comportamento

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. Il campionamento con un fronte. Comportamento Capitolo 7 Reti sicroe 7 Elaborazioe sicroa 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Elaborazioe sicroa Struttura igresso i(t) uscita u(t) = F(i(t),s(t)) 2 Rete logica combiatoria ideale z z 2 z m Esigeze

Dettagli

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. 7.1 Elaborazione sincrona Analisi e Sintesi 7.3 Registri e Contatori

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. 7.1 Elaborazione sincrona Analisi e Sintesi 7.3 Registri e Contatori Capitolo 7 Reti sicroe 7 Elaborazioe sicroa 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Elaborazioe sicroa Struttura igresso i(t) uscita u(t) = F(i(t),s(t)) 2 Rete logica combiatoria ideale z z 2 z m Esigeze

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequeziali sicroe ESERCIZIO N. 1 MISURATORE D P R APPARATO Il sistema sicroo riportato i figura ha lo scopo di misurare il ritardo co cui u APPARATO rispode agli stimoli e

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori iversità degli Studi dell Isubria Dipartimeto di Scieze Teoriche e pplicate rchitettura degli elaboratori Registri e Marco Tarii Dipartimeto di Scieze Teoriche e pplicate marco.tarii@uisubria.it Register

Dettagli

Stima di somme: esercizio

Stima di somme: esercizio Stima di somme: esercizio Valutare l'ordie di gradezza della somma k l (1 + 3 k ) Quado x

Dettagli

= = 32

= = 32 Algabra lieare (Matematica CI) - 9 Algebra delle matrici - Moltiplicazioe Euple, righe e coloe Notazioe I algebra lieare giocao u ruolo importate le coppie, tere,, ple ordiate di umeri reali; cosi come

Dettagli

13/10/16. Codice 1: Italiana 00. Macchina 00 Razzo 01 Aereo 10

13/10/16. Codice 1: Italiana 00. Macchina 00 Razzo 01 Aereo 10 Rappresetazioe dell'iformazioe I calcolatori elettroici soo macchie i grado di elaborare iformazioi trasformadole i altre iformazioi. Nel modo dell'iformatica, itediamo i modo più restrittivo per iformazioe

Dettagli

Circuiti integrati. Il Livello Logico-Digitale. Usi caratteristici. Famiglie di circuiti integrati. Blocchi funzionali combinatori

Circuiti integrati. Il Livello Logico-Digitale. Usi caratteristici. Famiglie di circuiti integrati. Blocchi funzionali combinatori Circuiti itegrati Il Livello Logico-Digitale locchi fuzioali combiatori circuito itegrato è ua piastria di silicio (o chip), quadrata o rettagolare, sulla cui superficie vegoo realizzati e collegati trasistor

Dettagli

Algebra delle matrici

Algebra delle matrici Algebra delle matrici Prodotto di ua matrice per uo scalare Data ua matrice A di tipo m, e dato uo scalare r R, moltiplicado r per ciascu elemeto di A si ottiee ua uova matrice di tipo m, detta matrice

Dettagli

Sperimentazioni di Fisica I mod. A Lezione 2

Sperimentazioni di Fisica I mod. A Lezione 2 La Rappresetazioe dei Numeri Sperimetazioi di Fisica I mod. A Lezioe 2 Alberto Garfagii Marco Mazzocco Cizia Sada Dipartimeto di Fisica e Astroomia G. Galilei, Uiversità degli Studi di Padova Lezioe II:

Dettagli

Calcolo Numerico A.A Esercitazione n

Calcolo Numerico A.A Esercitazione n Calcolo Numerico A.A. 22-23 Esercitazioe. 4 26-3-23 Fuzioi di iput\output Per visualizzare strighe sullo schermo disp('striga di caratteri') Esempio: >> disp('oggi e'' ua bella giorata') Per itrodurre

Dettagli

(A + B) ij = A ij + B ij, i = 1,..., m, j = 1,..., n.

(A + B) ij = A ij + B ij, i = 1,..., m, j = 1,..., n. Algebra lieare Matematica CI) 263 Somma di matrici Siao m ed due iteri positivi fissati Date due matrici A, B di tipo m, sommado a ciascu elemeto di A il corrispodete elemeto di B, si ottiee ua uova matrice

Dettagli

Problem solving elementare su dati scalari. Esercizi risolti

Problem solving elementare su dati scalari. Esercizi risolti 1 Esercizio: Fattoriale Esercizi risolti Si realizzi u programma che, letto u umero, stampi il valore del fattoriale per tutti i umeri da 0 a. Si ricordi che 0!=1. void mai (void) it i,, fatt; pritf ("Valore

Dettagli

Vettori e versori. Nel caso in cui α è positivo, il vettore ed il versore hanno lo stesso verso, mentre nel caso contrario, hanno verso opposto.

Vettori e versori. Nel caso in cui α è positivo, il vettore ed il versore hanno lo stesso verso, mentre nel caso contrario, hanno verso opposto. Vettori e versori U vettore v è u segmeto orietato che è descritto da u modulo, da ua direzioe e da u verso. Ioltre i vettori possoo essere liberi oppure applicati, el primo caso o coosciamo il puto di

Dettagli

S R Qn+1 Commento 0 0 Qn Conserva lo stato Memorizza Memorizza 1 1 1? Indeterminato. Tabella 1

S R Qn+1 Commento 0 0 Qn Conserva lo stato Memorizza Memorizza 1 1 1? Indeterminato. Tabella 1 Dai circuiti combiatori alle reti sequeziali: i FLIP FLOP Nei circuiti combiatori le uscite dipedoo, i u determiato istate, uicamete dai valori assuti dagli igressi ello stesso istate, ed ioltre il ripetersi

Dettagli

1. a n = n 1 a 1 = 0, a 2 = 1, a 3 = 2, a 4 = 3,... Questa successione cresce sempre piú al crescere di n e vedremo che {a n } diverge.

1. a n = n 1 a 1 = 0, a 2 = 1, a 3 = 2, a 4 = 3,... Questa successione cresce sempre piú al crescere di n e vedremo che {a n } diverge. Le successioi A parole ua successioe é u isieme ifiito di umeri disposti i u particolare ordie. Piú rigorosamete, ua successioe é ua legge che associa ad ogi umero aturale u altro umero (ache o aturale):

Dettagli

CALCOLO COMBINATORIO

CALCOLO COMBINATORIO CALCOLO COMBINATORIO Che cosa sigifica cotare Tutti coosciamo la successioe dei umeri iteri Naturali N = {0, 1,,, } si tratta di ua struttura metale fodametale, chiaramete presete alla ostra ituizioe che

Dettagli

Precorso di Matematica, aa , (IV)

Precorso di Matematica, aa , (IV) Precorso di Matematica, aa 01-01, (IV) Poteze, Espoeziali e Logaritmi 1. Nel campo R dei umeri reali, il umero 1 e caratterizzato dalla proprieta che 1a = a, per ogi a R; per ogi umero a 0, l equazioe

Dettagli

ESERCITAZIONI PRATICHE LABORATORIO 111

ESERCITAZIONI PRATICHE LABORATORIO 111 ESERCITZIONI PRTICHE LORTORIO 111 MODULO ELETTRONIC DIGITLE SCLE DI INTEGRZIONE I CIRCUITI INTEGRTI Tutte le fuzioi logiche, soo dispoibili i commercio sotto forma di circuiti itegrati. U circuito itegrato

Dettagli

Algebra di Boole Forme normali P ed S

Algebra di Boole Forme normali P ed S Corso di Calcolatori Elettroici I A.A. 00-0 Algebra di Boole Forme ormali P ed S Lezioe 5 Uiversità degli Studi di Napoli Federico II Facoltà di Igegeria Variabili e uzioi booleae Elemeti del sostego dell

Dettagli

Insiemi numerici. Sono noti l insieme dei numeri naturali: N = {1, 2, 3, }, l insieme dei numeri interi relativi:

Insiemi numerici. Sono noti l insieme dei numeri naturali: N = {1, 2, 3, }, l insieme dei numeri interi relativi: Isiemi umerici Soo oti l isieme dei umeri aturali: N {1,, 3,, l isieme dei umeri iteri relativi: Z {0, ±1, ±, ±3, N {0 ( N e, l isieme dei umeri razioali: Q {p/q : p Z, q N. Si ottiee questo ultimo isieme,

Dettagli

3 Ricorrenze. 3.1 Metodo iterativo

3 Ricorrenze. 3.1 Metodo iterativo 3 Ricorreze Nel caso di algoritmi ricorsivi ad esempio, merge sort, ricerca biaria, ricerca del massimo e/o del miimo), il tempo di esecuzioe può essere descritto da ua fuzioe ricorsiva, ovvero da u equazioe

Dettagli

TEORIA DELLE MATRICI. dove aij K. = di ordine n, gli elementi aij con i = j (cioè gli elementi a 11

TEORIA DELLE MATRICI. dove aij K. = di ordine n, gli elementi aij con i = j (cioè gli elementi a 11 1 TEORIA DELLE MATRICI Dato u campo K, defiiamo matrice ad elemeti i K di tipo (m, ) u isieme di umeri ordiati secodo m righe ed coloe i ua tabella rettagolare del tipo a11 a12... a1 a21 a22... a2 A =.........

Dettagli

Laboratorio II, modulo Elettronica digitale (cfr.

Laboratorio II, modulo Elettronica digitale (cfr. Laboratorio II, modulo 2 26-27 Elettroica digitale (cfr. http://physics.ucsd.edu/~tmurphy/phys2/phys2.html) DC () Dal puto di vista fuzioale gli DC soo dei classificatori: L itervallo di variabilità del

Dettagli

Appunti complementari per il Corso di Statistica

Appunti complementari per il Corso di Statistica Apputi complemetari per il Corso di Statistica Corsi di Laurea i Igegeria Edile e Tessile Ilia Negri 24 settembre 2002 1 Schemi di campioameto Co il termie campioameto si itede l operazioe di estrazioe

Dettagli

( 4) ( ) ( ) ( ) ( ) LE DERIVATE ( ) ( ) (3) D ( x ) = 1 derivata di un monomio con a 0 1. GENERALITÀ

( 4) ( ) ( ) ( ) ( ) LE DERIVATE ( ) ( ) (3) D ( x ) = 1 derivata di un monomio con a 0 1. GENERALITÀ LE DERIVATE. GENERALITÀ Defiizioe A) Ituitiva. La derivata, a livello ituitivo, è u operatore tale che: a) ad ua fuzioe f associa u altra fuzioe; b) obbedisce alle segueti regole di derivazioe: () D a

Dettagli

Il Livello Logico-Digitale

Il Livello Logico-Digitale ibreria di blocchi sequeziali l ivello ogico-digitale locchi fuzioali sequeziali Tipici pricipali compoeti sequeziali di libreria: Registro parallelo Registro a scorrimeto aco di registri Memoria Oguo

Dettagli

5. Derivate. Derivate. Derivate di funzioni elementari. Regole di derivazione. Derivate di funzioni composte e di funzioni inverse

5. Derivate. Derivate. Derivate di funzioni elementari. Regole di derivazione. Derivate di funzioni composte e di funzioni inverse Di cosa parleremo Le derivate costituiscoo, per la maggioraza degli studeti, l argometo più semplice di questa parte dell aalisi matematica. I questo capitolo e daremo il cocetto assieme al sigificato

Dettagli

Probabilità e Statistica (cenni)

Probabilità e Statistica (cenni) robabilità e Statistica (cei) remettiamo la distizioe tra i due cocetti: Defiizioe: dato il verificarsi di u eveto si defiisce la probabilità per l eveto cosiderato il rapporto tra il umero dei casi favorevoli

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettroici Lezioe 14 16/01/2014 Rassega esercizi reti sequeziali Emiliao Casalicchio emiliao.casalicchio@uiroma2.it Argometi della lezioe Esempi di esercizi automi Le soluzioi verrao presetata

Dettagli

Metodi di valutazione delle prestazioni di rete

Metodi di valutazione delle prestazioni di rete Metodi di valutazioe delle prestazioi di rete Prof. Ig. Carla Raffaelli Cofroto di diversi approcci Parametri di cofroto: precisioe requisiti di poteza di calcolo requisiti di memoria facilita' di approccio

Dettagli

NUMERI REALI Mauro Saita Versione provvisoria. Settembre 2012.

NUMERI REALI Mauro Saita Versione provvisoria. Settembre 2012. NUMERI REALI Mauro Saita maurosaita@tiscaliet.it Versioe provvisoria. Settembre 2012. Idice 1 Numeri reali. 1 1.1 Numeri aturali, iteri, razioali......................... 1 1.2 La scoperta dei umeri irrazioali.........................

Dettagli

Sintesi e analisi di schemi con contatori

Sintesi e analisi di schemi con contatori Sitesi e aalisi di schemi co cotatori La memorizzazioe dello stato itero di ua qualsiasi rete sequeziale sicroa può essere affidata ad u cotatore: basta ifatti scegliere uo co comado di LO, fissare il

Dettagli

Appendice A. Elementi di Algebra Matriciale

Appendice A. Elementi di Algebra Matriciale ppedice. Elemeti di lgebra Matriciale... 2. Defiizioi... 2.. Matrice quadrata... 2..2 Matrice diagoale... 2..3 Matrice triagolare... 3..4 Matrice riga e matrice coloa... 3..5 Matrice simmetrica e emisimmetrica...

Dettagli

Appendice 2. Norme di vettori e matrici

Appendice 2. Norme di vettori e matrici Appedice 2. Norme di vettori e matrici La ozioe esseziale per poter defiire il cocetto di distaza e lughezza i uo spazio vettoriale lieare è quello di orma. Il cocetto di orma è ua geeralizzazioe del cocetto

Dettagli

Algoritmi e Strutture Dati Esercizi Prima parte

Algoritmi e Strutture Dati Esercizi Prima parte Algoritmi e Strutture Dati Esercizi Prima parte Esercizio 1 Si cosideri il seguete codice: 1 i 1 2 k 0 3 while i 4 do if A[i] s 5 the k k + 1 6 A[k] A[i] 7 i i + 1 e si dimostri la sua correttezza rispetto

Dettagli

ESERCIZI - FASCICOLO 1

ESERCIZI - FASCICOLO 1 ESERCIZI - FASCICOLO 1 Esercizio 1 Sia (Ω, A) uo spazio misurabile. Se (A ) 1 è ua successioe di eveti (= elemeti di A), defiiamo lim sup A := A k lim if A = A k. Mostrare che =1 k= (lim sup A ) c = lim

Dettagli

Elementi di calcolo combinatorio

Elementi di calcolo combinatorio Appedice A Elemeti di calcolo combiatorio A.1 Disposizioi, combiazioi, permutazioi Il calcolo combiatorio si occupa di alcue questioi iereti allo studio delle modalità secodo cui si possoo raggruppare

Dettagli

Lezione 2. . Gruppi isomorfi. Gruppi S n e A n. Sottogruppi normali. Gruppi quoziente. , ossia, equivalentemente, se x G Hx = xh.

Lezione 2. . Gruppi isomorfi. Gruppi S n e A n. Sottogruppi normali. Gruppi quoziente. , ossia, equivalentemente, se x G Hx = xh. Prerequisiti: Lezioe Gruppi Lezioe 2 Z Gruppi isomorfi Gruppi S e A Riferimeti ai testi: [FdG] Sezioe ; [H] Sezioe 26; [PC] Sezioe 58 Sottogruppi ormali Gruppi quoziete L Esempio 7 giustifica la seguete

Dettagli

2T(n/2) + n se n > 1 T(n) = 1 se n = 1

2T(n/2) + n se n > 1 T(n) = 1 se n = 1 3 Ricorreze Nel caso di algoritmi ricorsivi (ad esempio, merge sort, ricerca biaria, ricerca del massimo e/o del miimo), il tempo di esecuzioe può essere descritto da ua fuzioe ricorsiva, ovvero da u equazioe

Dettagli

Circuiti combinatori notevoli

Circuiti combinatori notevoli Corso di Calcolatori Elettroici I A.A. 22-23 Circuiti combiatori otevoli ig. Alessadro Cilardo Accademia Aeroautica di Pozzuoli Corso Pegaso V GAr Elettroici Sommario codificatori/decodificatori/trascodificatori

Dettagli

11 IL CALCOLO DEI LIMITI

11 IL CALCOLO DEI LIMITI IL CALCOLO DEI LIMITI Il calcolo di u ite spesso si ricodurrà a trattare separatamete iti più semplici, su cui poi si farao operazioi algebriche. Dato che uo o più di questi iti possoo essere ±, bisoga

Dettagli

SisElnE3 20/03/ /03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ.

SisElnE3 20/03/ /03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ. Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuiti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza»

Dettagli

Sommario. Metodologie di progetto. Introduzione. Modello del Sistema. Diagramma a Blocchi. Progetto

Sommario. Metodologie di progetto. Introduzione. Modello del Sistema. Diagramma a Blocchi. Progetto Sommario Metodologie di progetto Massimo Violate troduzioe Progetto a Livello Porte Logiche Progetto a Livello Registri Progetto a Livello Sistema. troduzioe U sistema è ua collezioe di oggetti, compoeti,

Dettagli

CAPITOLO 3. Quicksort

CAPITOLO 3. Quicksort CAPITOLO 3 Quicksort I questa lezioe presetiamo l algoritmo di ordiameto Quicksort(vedi []). L algoritmo Quicksort riceve i iput u array A e idici p r ed ordia l array A[p,, r] el modo seguete. L array

Dettagli

Le successioni: intro

Le successioni: intro Le successioi: itro Si cosideri la seguete sequeza di umeri:,,, 3, 5, 8, 3,, 34, 55, 89, 44, 33, detti di Fiboacci. Essa rappreseta il umero di coppie di coigli preseti ei primi mesi i u allevameto! Si

Dettagli

Circuiti a tempo discreto Raffaele Parisi

Circuiti a tempo discreto Raffaele Parisi Uiversità di Roma La Sapieza Laurea specialistica i Igegeria Elettroica Circuiti a tempo discreto Raffaele Parisi : Esempi di Sequeze e di Circuiti TD Sequeze otevoli, periodicità delle sequeze, esempi

Dettagli

PROPRIETÀ DELLE POTENZE IN BASE 10

PROPRIETÀ DELLE POTENZE IN BASE 10 PROPRIETÀ DELLE POTENZE IN BASE Poteze i base co espoete itero positivo Prediamo u umero qualsiasi che deotiamo co la lettera a e u umero itero positivo che deotiamo co la lettera Per defiizioe (cioè per

Dettagli

Unità Didattica N 32 Grandezze geometriche omogenee e loro misura

Unità Didattica N 32 Grandezze geometriche omogenee e loro misura Uità Didattica N 3 Uità Didattica N 3 01) Classi di gradezze omogeee 0) Multipli e sottomultipli di ua gradezza geometrica 03) Gradezze commesurabili ed icommesurabili 04) Rapporto di due gradezze 05)

Dettagli

SisElnE3 03/03/ /03/ SisElnE DDC/DDM/MZ. 03/03/ SisElnE DDC/DDM/MZ. Bit 3 Bit 2 Bit 1 Bit 0

SisElnE3 03/03/ /03/ SisElnE DDC/DDM/MZ. 03/03/ SisElnE DDC/DDM/MZ. Bit 3 Bit 2 Bit 1 Bit 0 Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuiti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza»

Dettagli

Somma E possibile sommare due matrici A e B ottenendo una matrice C se e solo se le due matrici hanno lo stesso numero di righe e di colonne.

Somma E possibile sommare due matrici A e B ottenendo una matrice C se e solo se le due matrici hanno lo stesso numero di righe e di colonne. Matrici Geeralità sulle matrici I matematica, ua matrice è uo schierameto rettagolare di oggetti; le matrici di maggiore iteresse soo costituite da umeri come, per esempio, la seguete: 1 s 6 4 4 2 v t

Dettagli

SUCCESSIONI DI FUNZIONI

SUCCESSIONI DI FUNZIONI SUCCESSIONI DI FUNZIONI LUCIA GASTALDI 1. Defiizioi ed esempi Sia I u itervallo coteuto i R, per ogi N si cosideri ua fuzioe f : I R. Il simbolo f } =1 idica ua successioe di fuzioi, cioè l applicazioe

Dettagli

min z wz sub F(z) = y (3.1)

min z wz sub F(z) = y (3.1) 37 LA FUNZIONE DI COSTO 3.1 Miimizzazioe dei costi Riprediamo il problema della massimizzazioe dei profitti del capitolo precedete e suppoiamo ora che l'impresa coosca il livello di output che deve produrre;

Dettagli

(a 0, a 1, a 2,..., a n,...) (0, a 0 ), (1, a 1 ), (2, a 2 ),... (1, 3, 5, 7,...) Lezione del 26 settembre. 1. Successioni.

(a 0, a 1, a 2,..., a n,...) (0, a 0 ), (1, a 1 ), (2, a 2 ),... (1, 3, 5, 7,...) Lezione del 26 settembre. 1. Successioni. Lezioe del 26 settembre. 1. Successioi. Defiizioe 1 Ua successioe di umeri reali e ua legge che associa a ogi umero aturale = 0, 1, 2,... u umero reale - i breve: e ua fuzioe N R; si scrive ella forma

Dettagli

Studio di funzione. Rappresentazione grafica di una funzione: applicazioni

Studio di funzione. Rappresentazione grafica di una funzione: applicazioni Studio di fuzioe Tipi di fuzioi Le fuzioi si possoo raggruppare i alcue tipologie di base: Razioali: se le operazioi che vi si effettuao soo addizioe, sottrazioe, prodotto, divisioe ed elevameto a poteza

Dettagli

Seconda esercitazione

Seconda esercitazione Seconda esercitazione progetto e simulazione di registri e contatori Obiettivi analisi del costrutto «process» analisi di reti sequenziali a.a. 2-2 VHDL : Processi 2 un processo rappresenta uno statement

Dettagli

06 LE SUCCESSIONI DI NUMERI REALI

06 LE SUCCESSIONI DI NUMERI REALI 06 LE SUCCESSIONI DI NUMERI REALI Ua successioe è ua fuzioe defiita i. I simboli ua f : A tale che f ( ) è ua successioe di elemeti di A. Se poiamo f ( i) ai co i,...,,..., ua successioe può essere rappresetata

Dettagli

Le perdite meccaniche per attrito e ventilazione si possono ritenere costanti e pari a 400 W.

Le perdite meccaniche per attrito e ventilazione si possono ritenere costanti e pari a 400 W. Corso di Macchie e azioameti elettrici A.A. 003-004 rova i itiere del ovembre 003 Esercizio. Le caratteristiche omiali di u motore asicroo trifase co rotore a gabbia soo le segueti: = 7,46 kw; =0, 50 Hz,

Dettagli

Corso di Teoria dei Circuiti 1 - II modulo

Corso di Teoria dei Circuiti 1 - II modulo Uiversità di Roma La Sapieza - Sede di Latia - Laurea i Igegeria dell Iformazioe Corso di Teoria dei Circuiti 1 - II modulo Docete: Fabio Massimo Frattale Mascioli : Esempi di Sequeze e di Circuiti TD

Dettagli

Attivazione di funzione e record di attivazione

Attivazione di funzione e record di attivazione corsi di laurea i Igegeria Chiica,Elettroica,Telecouicazioi,Iforatica Fodaeti di Iforatica: copleeto didattico su Attivazioe di fuzioe e record di attivazioe Dispesa a putate: questa è la pria putata.

Dettagli

Corso di Informatica

Corso di Informatica Corso di Iformatica Codifica dell Iformazioe Sistemi Numerici Per rappresetare ua certo quatità di oggetti è ecessaria ua covezioe o sistema umerico che faccia corrispodere ad ua sequeza di ua o più cifre,

Dettagli

7 LE PROPRIETÀ DEI NUMERI NATURALI. SUCCES- SIONI

7 LE PROPRIETÀ DEI NUMERI NATURALI. SUCCES- SIONI 7 LE PROPRIETÀ DEI NUMERI NATURALI. SUCCES- SIONI Abbiamo usato alcue proprietà dei umeri aturali che coviee mettere i evideza. Per prima cosa otiamo che N gode delle due proprietà (i 0 N; (ii se N allora

Dettagli

La comparsa dei numeri complessi è legata, da un punto di vista storico, alla risoluzione delle equazioni di secondo grado.

La comparsa dei numeri complessi è legata, da un punto di vista storico, alla risoluzione delle equazioni di secondo grado. Capitolo 3 3.1 Defiizioi e proprietà La comparsa dei umeri complessi è legata, da u puto di vista storico, alla risoluzioe delle equazioi di secodo grado. L equazioe ammette le soluzioi x 2 + 2px + q =

Dettagli

Lezione 4. Gruppi di permutazioni

Lezione 4. Gruppi di permutazioni Lezioe 4 Prerequisiti: Applicazioi tra isiemi Lezioi e Gruppi di permutazioi I questa lezioe itroduciamo ua classe ifiita di gruppi o abeliai Defiizioe 41 ia X u isieme o vuoto i dice permutazioe su X

Dettagli

Algoritmi e Strutture Dati (Elementi)

Algoritmi e Strutture Dati (Elementi) Algoritmi e Strutture Dati (Elemeti Esercizi sulle ricorreze Proff. Paola Boizzoi / Giacarlo Mauri / Claudio Zadro Ao Accademico 00/003 Apputi scritti da Alberto Leporati e Rosalba Zizza Esercizio 1 Posti

Dettagli

Cenni di calcolo combinatorio

Cenni di calcolo combinatorio Appedice B Cei di calcolo combiatorio B Disposizioi, combiazioi, permutazioi Il calcolo combiatorio si occupa di alcue questioi iereti allo studio delle modalità secodo cui si possoo raggruppare degli

Dettagli

x n (1.1) n=0 1 x La serie geometrica è un esempio di serie di potenze. Definizione 1 Chiamiamo serie di potenze ogni serie della forma

x n (1.1) n=0 1 x La serie geometrica è un esempio di serie di potenze. Definizione 1 Chiamiamo serie di potenze ogni serie della forma 1 Serie di poteze È stato dimostrato che la serie geometrica x (1.1) coverge se e solo se la ragioe x soddisfa la disuguagliaza 1 < x < 1. I realtà c è covergeza assoluta i ] 1, 1[. Per x 1 la serie diverge

Dettagli

Elementi di statistica

Elementi di statistica Elemeti di statistica La misura delle gradezze fisiche può essere effettuata direttamete o idirettamete. Se la misura viee effettuata direttamete si parla di misura diretta; se essa viee dedotta attraverso

Dettagli

2,3, (allineamenti decimali con segno, quindi chiaramente numeri reali); 4 ( = 1,33)

2,3, (allineamenti decimali con segno, quindi chiaramente numeri reali); 4 ( = 1,33) Defiizioe di umero reale come allieameto decimale co sego. Numeri reali positivi. Numeri razioali: defiizioe e proprietà di desità Numeri reali Defiizioe: U umero reale è u allieameto decimale co sego,

Dettagli

n 1 = n b) {( 1) n } = c) {n!} In questo caso la successione è definita per ricorrenza: a 0 = 1, a n = n a n 1 per ogni n 1.

n 1 = n b) {( 1) n } = c) {n!} In questo caso la successione è definita per ricorrenza: a 0 = 1, a n = n a n 1 per ogni n 1. Apputi sul corso di Aalisi Matematica complemeti (a) - prof. B.Bacchelli Apputi 0: Riferimeti: R.Adams, Calcolo Differeziale - Si cosiglia vivamete di fare gli esercizi del testo. Successioi umeriche:

Dettagli

Esercitazione n Supponendo che i giorni lavorativi in un anno siano 340, quanti chilometri percorre mediamente un tir in un anno?

Esercitazione n Supponendo che i giorni lavorativi in un anno siano 340, quanti chilometri percorre mediamente un tir in un anno? Esercitazioe.4 1 Applicazioi del TCL 1.1 Ua ditta di trasporti iterazioali possiede 100 tir dello stesso tipo. Ogi tir percorre ua media di 600 km al gioro co ua deviazioe stadard di 50 km. 1. Suppoedo

Dettagli

REGRESSIONE LINEARE E POLINOMIALE

REGRESSIONE LINEARE E POLINOMIALE REGRESSIONE LINEARE E POLINOMIALE Nota ua tabella di dati relativi alle osservazioi di due gradezze X e Y, è aturale formulare ipotesi su quale possa essere ua ragioevole fuzioe che rappreseti o che approssimi

Dettagli

Entropia ed informazione

Entropia ed informazione Etropia ed iformazioe Primi elemeti sulla teoria della misura dell iformazioe Per trasmettere l iformazioe è ecessaria ua rete di comuicazioe, che, secodo l approccio teorico di Claude E. Shao e Warre

Dettagli

Alcuni concetti di statistica: medie, varianze, covarianze e regressioni

Alcuni concetti di statistica: medie, varianze, covarianze e regressioni A Alcui cocetti di statistica: medie, variaze, covariaze e regressioi Esistoo svariati modi per presetare gradi quatità di dati. Ua possibilità è presetare la cosiddetta distribuzioe, raggruppare cioè

Dettagli

ANALISI DI FOURIER. Analisi di Fourier di sequenze bidimensionali o Immagini

ANALISI DI FOURIER. Analisi di Fourier di sequenze bidimensionali o Immagini AALISI DI FOURIER Aalisi di Fourier di sequeze bidimesioali o Immagii -Defiizioi di Sequeze Bidimesioali o Immagii -Trasformata Discreta di Fourier D -Iterpretazioe Piao di Fourier -Esempi I seguito prederemo

Dettagli

Ricorrenze. 3 1 Metodo iterativo

Ricorrenze. 3 1 Metodo iterativo 3 Ricorreze 31 Metodo iterativo Il metodo iterativo cosiste ello srotolare la ricorreza fio ad otteere ua fuzioe dipedete da (dimesioe dell iput). L idea è quella di reiterare ua data ricorreza T () u

Dettagli

DIAGRAMMA A BANDE DI UNA GIUNZIONE PN

DIAGRAMMA A BANDE DI UNA GIUNZIONE PN DIAGRAMMA A BAD DI UA GIUZIO P Per come e stato defiito, il diagramma a bade di u semicoduttore rappreseta l isieme di eergie permesse agli elettroi all itero del semicoduttore i fuzioe della posizioe.

Dettagli

La dimostrazione per induzione in matematica

La dimostrazione per induzione in matematica La dimostrazioe per iduzioe i matematica I procedimeti scietifici fodametali,per dimostrare ua relazioe matematica o ua legge fisica, soo uello iduttivo e uello deduttivo. i utilizza il procedimeto deduttivo

Dettagli

Lab 7 Passaggio per riferimento

Lab 7 Passaggio per riferimento Fodameti di Iformatica e Laboratorio T-AB Igegeria Elettroica e Telecomuicazioi Lab 7 Passaggio per riferimeto Lab7 1 Esercizio 1 I umeri complessi Data la otazioe cartesiaa di u umero complesso (i parte

Dettagli

Tempo di calcolo. , per cui x è un caso più sfavorevole quando T. peggiore(

Tempo di calcolo. , per cui x è un caso più sfavorevole quando T. peggiore( Tempo di calcolo. Tempo di calcolo di u algoritmo La complessità computazioale è ua misura della difficoltà di risolvere problemi di calcolo co algoritmi. Per misurare la complessità di u algoritmo si

Dettagli

a n (x x 0 ) n. (1.1) n=0

a n (x x 0 ) n. (1.1) n=0 Serie di poteze. Defiizioi Assegati ua successioe {a } di umeri reali e u puto x dell asse reale si dice serie di poteze u espressioe del tipo a (x x ). (.) Il puto x viee detto cetro della serie e i umeri

Dettagli

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches

Sommario. Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Fondamenti di VHDL Sommario VHDL: premessa e introduzione Modellizzazione Sintassi Classi di Oggetti Tipi di Dati e Operatori Package e Librerie Processi Esempi di codice VHDL VHDL Testbenches Premessa

Dettagli

v = ( v 1,..., v n ).

v = ( v 1,..., v n ). Lezioe del 21 ovembre. Sistemi lieari 1. Spaio vettoriale R Sia u itero positivo. ssatoمح Cosideriamo lلاiisieme R delle ple ordiate di umeri reali u (u 1, u 2,..., u ), u i R. Al posto di pla ordiata

Dettagli

CONVERTITORI DAC e ADC

CONVERTITORI DAC e ADC CONVERTITORI DAC e ADC ESERCITAZIONE IN LABORATO Geeralità.... Il covertitore D/A (DAC).... Pricipio della coversioe... Parametri caratteristici....3 Tipi di covertitori D/A (DAC)...3 Covertitori a resisteze

Dettagli

LA MISURA IN PSICOLOGIA

LA MISURA IN PSICOLOGIA Prof. Giulio Vidotto (Uiversità di Padova) Lez. 3 - Distribuzioe ormale e stadardizzazioe delle misure Argometi della lezioe Stadardizzazioe Distribuzioe Normale Distribuzioe Normale Stadard Stadardizzazioe

Dettagli

Preparazione al corso di statistica Prof.ssa Cerbara

Preparazione al corso di statistica Prof.ssa Cerbara Preparazioe al corso di statistica Prof.ssa Cerbara Esistoo molti isiemi umerici, ciascuo co caratteristiche be precise. Alcui importatissimi isiemi umerici soo: N: isieme dei umeri aturali, cioè tutti

Dettagli

Indice Comit 30 Nota Metodologica. Direzione Studi e Ricerche Dicembre 2017

Indice Comit 30 Nota Metodologica. Direzione Studi e Ricerche Dicembre 2017 Idice Comit 30 Nota Metodologica Direzioe Studi e Ricerche Dicembre 2017 Idice Comit 30 Caratteristiche dell idice Comit 30 1) Titoli che partecipao alla formazioe dell idice Il paiere su cui Comit 30

Dettagli

Capitolo 7: Reti sincrone

Capitolo 7: Reti sincrone Cap. 7 Reti sicroe 7. Elaborazioe sicroa 7. Elaborazioe sicroa Esigeze e vicoli Il modello della rete sicroa prevede la retroazioe delle variabili di stato attraverso u compoete che itroduce u ritardo.

Dettagli

T n = f n log n = log n. 1 ] 1 ] 1 = sono verificate le disuguaglianze c 1

T n = f n log n = log n. 1 ] 1 ] 1 = sono verificate le disuguaglianze c 1 A.A. 00 05 Esame di Algoritmi e strutture dati luglio 005 Esercizio (6 puti) Risolvere co almeo due metodi diversi la seguete relazioe di ricorreza T = T =T Master Theorem a= b= per cui log b a = log /

Dettagli

ESERCITAZIONI 1 (vers. 1/11/2013)

ESERCITAZIONI 1 (vers. 1/11/2013) ESERCITAZIONI 1 (vers. 1/11/2013 Daiela De Caditiis tutoraggio MAT/06 Igegeria dell Iformazioe - sede di Latia, prima qualche richiamo di teoria... CALCOLO COMBINATORIO Il pricipio fodametale del calcolo

Dettagli

Analisi Matematica I

Analisi Matematica I Aalisi Matematica I Isiemi di umeri Naturali, iteri, razioali I primi umeri che si icotrao soo gli iteri positivi, detti ache umeri aturali: 1, 2, 3,.... L isieme dei umeri aturali si idica co il simbolo

Dettagli