SisElnE3 20/03/ /03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ.

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "SisElnE3 20/03/ /03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ."

Transcript

1 Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuiti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza» ircuiti sequeziali base» Flip-Flop, egistri, cotatori» Macchie a stati fiiti ircuiti combiatori osa soo e come si realizzao semplici circuiti combiatori omportameto dei circuiti combiatori co il modello -SW erivazioe di semplici fuzioi logiche ircuiti sequeziali Elemeti base di memoria digitale Esempi di flip-flop e registri omportameto diamico dei flip-flop egistri e cotatori Esempi di circuiti digitali complessi Macchie a stati (FSM) 2/3/27 - SisElE3-27 /M/MZ 2/3/27-2 SisElE3-27 /M/MZ oteuti di questa lezioe (E3) Segali seriali e segali paralleli egistri paralleli e egistri seriali overtitori SIPO e PISO otatori asicroi otatori sicroi Macchia a stati fiiti (FSM) Strutture di elaborazioe digitale iferimeti al testo I segali umerici possoo essere rappresetati e trasferiti i forma seriale o parallela N bit bit Iformazioe sequeziale (bit preseti i tempi successivi su uico filo) Iformazioe parallela (bit preseti ello stesso tempo su fili diversi) 2/3/27-3 SisElE3-27 /M/MZ 2/3/27-4 SisElE3-27 /M/MZ Trasferimeto di segali digitali Vataggi e svataggi I segali soo cadezati da u segale di clock O SEGNE IGITE INFOMZIONE Trasferimeto parallelo: N bit co u clock N bit cotemporaeamete, i u tempo T ck Trasferimeto seriale: N bit co N cicli di clock bit alla volta, i u tempo N T ck oessioe Parallela mior tempo molte liee: maggior cosumo e costo più usata su distaze brevi» Etro circuti itegrati, tra.i.» us PI, T, oessioe Seriale più leta ua sola liea: mior cosumo e costo più usata su distaze lughe e caali» Etheret, ST» aale radio 2/3/27-5 SisElE3-27 /M/MZ 2/3/27-6 SisElE3-27 /M/MZ Page 27 /M/MZ

2 Tipi di flip-flop Sicroizzazioe dei FF I base alla modalità di sicroizzazioe o abilitazioe a livello (E) egistri latch Traspareza quado abilitati (E = ) Memoria quado bloccati (E = ) o comado sulla trasizioe () egistri master-slave Memorizzao lo stato dell igresso alla trasizioe attiva E e possoo essere diritti o egati UTTO TIPI I FF: T EGE-TIGGEE POSITIVE NEGTIVE POSITIVE NEGTIVE E E T (SENSIIE IVEO) USIT MI UNTE FSE TSPENTE (E = ) EGE-TIGGE (SENSIIE FONTE) USIT MI SU FONTE E O 2/3/27-7 SisElE3-27 /M/MZ 2/3/27-8 SisElE3-27 /M/MZ egistri egistro parallelo Isieme di Flip- Flop co comadi comui lock (eset/lear) secoda del modo di clock egistri di tipo atch Edge-Triggered, Igressi (..3) 2 3 lock (edge) * * * * Uscite (..3) lear (attivo a ) Igresso parallelo Uscita parallela egistro PIPO Parallel I/Parallel Out Parametri: Num bit N Tipo di clock» evel (latch)» (edge, MS) ltri comadi /3/27-9 SisElE3-27 /M/MZ 2/3/27 - SisElE3-27 /M/MZ Timig di registro PIPO Segale di comado appresetazioe di fasci di segali bilitazioe a livello EN EN O / \ / \ / \ / \ / \ / lock a trasizioe T XXXXXXXXXXXXXXXXXXXXXXEEEX???EE 2/3/27 - SisElE3-27 /M/MZ 2/3/27-2 SisElE3-27 /M/MZ Page 2 27 /M/MZ 2

3 Shift-egister SISO Timig di registro SISO Isieme di Flip- Flop () i cascata ( - > + ) lock i comue (evetualmete ache eset) Il dato di igresso viee scalato etro la catea egistro SISO -> Serial I - Serial Out egistro a scalameto (Shift- egister) SEI IN SEI OUT O / \ / \ / \ / \ / \ / SEIN / \/???_/ \_/ _???????????_/ \_/???????????????????_/ \ Uica uscita accessibile è ( per u registro da stadi) 2/3/27-3 SisElE3-27 /M/MZ 2/3/27-4 SisElE3-27 /M/MZ Shift-egister SIPO Timig di registro SIPO egistro a scalameto (Shift- egister) isieme di Flip-Flop () collegati i cascata ( -> + ) lock i comue (evetualmete ache eset) Può covertire u dato seriale i parallelo egistro SIPO -> Serial I - Parallel Out SEI IN SEI OUT O / \ / \ / \ / \ / \ / SEIN / \/???_/ \_/ _???????????_/ \_/???????????????????_/ \ PE OUT 2 3 Tutte le uscite i soo accessibili Il dato di igresso ( ) è dispoibile i forma parallela su <,,, > 2/3/27-5 SisElE3-27 /M/MZ 2/3/27-6 SisElE3-27 /M/MZ egistro a scalameto (shift) Shift-egister PISO O / \ / \ / \ / \ / \ / Permettoo di caricare i parallelo i sigoli flip- flop omado Parallel oad (P) imae l uscita seriale SEIN????????????????????????????????? PE T 2 3 P SOUT SEI OUT 2/3/27-7 SisElE3-27 /M/MZ 2/3/27-8 SisElE3-27 /M/MZ Page 3 27 /M/MZ 3

4 Sommario registri Shift-egister completo PIPO SIPO Igressi e uscite sia paralleli che seriali PISO SISO PE T 2 3 PE OUTPUT T SEI IN SIN SOUT P SEI OUT (= ) 2/3/27-9 SisElE3-27 /M/MZ 2/3/27-2 SisElE3-27 /M/MZ ESEIZIO: SIFT EGISTE oteuti di questa lezioe (E3) EIZZE UNO SIFT EGISTE PE IN - SEI OUT (PISO) 4 IT egistri paralleli e egistri seriali overtitori SIPO e PISO otatori asicroi otatori sicroi Macchia a stati fiiti (FSM) Strutture di elaborazioe digitale 2/3/27-2 SisElE3-27 /M/MZ 2/3/27-22 SisElE3-27 /M/MZ ivisore modulo 2/4 otatore asicroo 2 /2 / / / / / / Ogi stadio divide la frequeza di O modulo 2 M stadi: divisioe modulo 2 M I clock soo collegati a catea (ripple) I ritardi di commutazioe si accumulao Il circuito è u cotatore asicroo: le uscite commutao co ritardo differeti Sigolo FF: ritardo T pd (da a ) Uscita M : ritardo T pdm = M T pd 2 2/3/27-23 SisElE3-27 /M/MZ 2/3/27-24 SisElE3-27 /M/MZ Page 4 27 /M/MZ 4

5 -FF come divisore/cotatore -FF come divisore/cotatore Se, = u - FF cambia stato a ogi lock permette di realizzare cotatori asicroi Esempio: cotatore a tre stadi (:8) -FF Negative-Edge-Triggered Sequeza di stati su, 2, 3 sequeza crescete di umeri biari itardo Tpd 3 itardo 2Tpd 2 3 MS () S () itardo 3Tpd 2/3/27-25 SisElE3-27 /M/MZ 2/3/27-26 SisElE3-27 /M/MZ otatori sicroi Esempio di cotatore sicroo Tutti i FF ricevoo lo stesso clock Tutte le uscite commutao co lo stesso ritardo (i sicroismo) a commutazioe è codizioata dallo stato di e pilotate dagli stadi precedeti co reti combiatorie otatore modulo 2 N lock diretto su tutti i FF al terzo FF i poi tutti gli stadi soo uguali Nel caso degli asicroi lock diversi» itardi differeti sulle varie uscite» Situazioi aomale ei trasitori eti di codizioameto sul clock /3/27-27 SisElE3-27 /M/MZ 2/3/27-28 SisElE3-27 /M/MZ ofroto sicroo/asicroo Massima frequeza operativa - a sicroo itardi diversi Sicroo itardi uguali a frequeza massima di fuzioameto è legata a: itardo del FF T itardo della logica combiatoria (porta N) T N Tempo di setup richiesto dal FF T SU T T N T SU /3/27-29 SisElE3-27 /M/MZ 2/3/27-3 SisElE3-27 /M/MZ Page 5 27 /M/MZ 5

6 Massima frequeza operativa - b Esercizio: otatore Sicroo Per il FF 4 la logica combiatoria di igresso è ua catea di due N itardo totale: T T4 = T + T N + T N + T SU ogi ulteriore stadio aggiuge u ritardo T N T T N T N T SU Tracciare le forme d oda alle uscita (i = per t = ) 4 uale Fmax per: T N = s ; T = 8 s; T SU = 3 s uale Fmax per u cotatore a 6 bit co gli stessi compoeti? 2/3/27-3 SisElE3-27 /M/MZ 2/3/27-32 SisElE3-27 /M/MZ Uscite del cotatore sicroo ONTTOE SINONO: Fmax al 7 all 8 periodo di Igresso 4 da N di 3 e di 4 deve essere costruito prima del frote 8 l uscita 4 è ritardata rispetto al frote 7 di t _ + t N + t N quidi T > t _ + 2t N Per u cotatore a m bit: T > t _ + (m-2)t N + t SU 4 2/3/27-33 SisElE3-27 /M/MZ 2/3/27-34 SisElE3-27 /M/MZ oteuti di questa lezioe (E3) Macchie a Stati Fiiti (FSM) egistri paralleli e egistri seriali overtitori SIPO e PISO otatori asicroi otatori sicroi Macchia a stati fiiti (FSM) Strutture di elaborazioe digitale a codizioe (/) degli elemeti di memoria (FF) idetifica lo stato del sistema I passaggi da stato a stato soo rappresetati co archi, codizioati da variabili itere e di igresso d ogi stato corrispode ua combiazioe delle uscite uesta rappresetazioe è u diagramma degli stati 2/3/27-35 SisElE3-27 /M/MZ 2/3/27-36 SisElE3-27 /M/MZ Page 6 27 /M/MZ 6

7 Macchie a Stati Fiiti (FSM) FSM per timer di ua lavatrice Il cotatore è u semplice esempio di FSM (Fiite State Machie). 2 bit --> 4 stati le uscite dei FF seguoo la sequeza... Il cotatore permette di realizzare ua uità di cotrollo semplice, ad esempio il sequeziatore di ua lavatrice STTO I U V ENTIFUG SIUG 2/3/27-37 SisElE3-27 /M/MZ 2/3/27-38 SisElE3-27 /M/MZ Stato Presete e Stato Futuro ete di Stato Futuro Il diagramma idica che, partedo dallo stato (Stato Presete) lo stato successivo è (Stato Futuro), e così via. Il cotatore è cotrollato da ua rete combiatoria (ete di Stato Futuro) che determia la sua evoluzioe, e quidi la sequeza di stati della FSM STTO I U V OUNTE ETE I STTO FUTUO ENTIFUG SIUG ESET STTO PESENTE ESET STTO FUTUO 2/3/27-39 SisElE3-27 /M/MZ 2/3/27-4 SisElE3-27 /M/MZ ete di uscita ete di Stato Futuro ella FSM Il cotatore attraverso ua rete combiatoria attiva le uscite che comadao gli attuatori Igressi: Variabili di Stato (itere), segali esteri Uscite: variabili itere ello stato successivo OUNTE I ETE I USIT OUTPUT V ETE I STTO FUTUO ESET ENTIFUG SIUG ESET 2/3/27-4 SisElE3-27 /M/MZ 2/3/27-42 SisElE3-27 /M/MZ Page 7 27 /M/MZ 7

8 ete di Uscita ella FSM Igressi: Variabili di Stato (itere) Uscite: segali di comado (attuatori, ) ETE I USIT ETE I STTO FUTUO ESET OUTPUT Esercizio: progetto di FSM POGETTE UN FSM E SI OMPOTI OME UN FIP FOP UE INGESSI ( PTE I ESET) :, UE SOI STTI: (ON USIT =) E (ON USIT = ) ETE I USIT È UN SEMPIE FIO USIT E FF USIT ESET ETE I USIT ETE I STTO FUTUO OUTPUT = 2/3/27-43 SisElE3-27 /M/MZ 2/3/27-44 SisElE3-27 /M/MZ Esercizio: diagramma degli stati ESEIZIO: MINE STTI FINITI IVIMO I IGMM EGI STTI: l STTO OENTE () STTO FUTUO () I IUITO FINE È: ETE I STTO FUTUO ETE I USIT OUTPUT = EUZIONE EO STTO FUTUO È: = + = + ESET 2/3/27-45 SisElE3-27 /M/MZ 2/3/27-46 SisElE3-27 /M/MZ ezioe E3: domade di riepilogo Prossime lezioi uati FF occorroo per realizzare u cotatore modulo 7 (sicroo e asicroo)? Tracciare lo schema di u divisore asicroo modulo 32 co - FF Ua FSM ha 9 stati. uati FF occorroo per realizzarla? Si deve realizzare u divisore sicroo modulo 2. uale è la massima frequeza operativa se i FF hao ritardo di 8 s, le porte N ritardo di s, e il tempo di setup dei FF è di 3 s? E possibile realizzare uo shift register co dei FF tipo - latch (o master- slave)? Strutture di elaborazioe digitale Esercizi di riepilogo sulla parte digitale parametri elettrici e iterfacciameto di porte logiche» resisteze di pull-up struttura di porte /SW e SW/SW ritardi circuiti co FF di vario tipo semplici cotatori e registri Esercitazioe di laboratorio verifica del fuzioameto di circuiti sequeziali Misura di ritardi 2/3/27-47 SisElE3-27 /M/MZ 2/3/27-48 SisElE3-27 /M/MZ Page 8 27 /M/MZ 8

SisElnE3 03/03/ /03/ SisElnE DDC/DDM/MZ. 03/03/ SisElnE DDC/DDM/MZ. Bit 3 Bit 2 Bit 1 Bit 0

SisElnE3 03/03/ /03/ SisElnE DDC/DDM/MZ. 03/03/ SisElnE DDC/DDM/MZ. Bit 3 Bit 2 Bit 1 Bit 0 Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuiti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza»

Dettagli

Page 1. SisElnF3 01/12/2005 MZ 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni E. Ingegneria dell Informazione. Modulo

Page 1. SisElnF3 01/12/2005 MZ 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni E. Ingegneria dell Informazione. Modulo Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza»

Dettagli

Circuiti sequenziali. Capitolo 5

Circuiti sequenziali. Capitolo 5 Circuiti sequeziali Capitolo 5 Itroduzioe Nei circuiti combiatori L uscita dipede solo dagli igressi La variabile temporale o appare esplicitamete Nei circuiti sequeziali L uscita dipede dalla storia passata

Dettagli

Page 1. ElapB3 21/09/ DDC 1 ELETTRONICA APPLICATA E MISURE. Lezione B3: circuiti sequenziali. Ingegneria dell Informazione

Page 1. ElapB3 21/09/ DDC 1 ELETTRONICA APPLICATA E MISURE. Lezione B3: circuiti sequenziali. Ingegneria dell Informazione Ingegneria dell Informazione ezione B3: circuiti sequenziali EETTRONICA APPICATA E MISURE ante E CORSO B3 CIRCUITI SEUENZIAI» Circuiti sincroni» Contatori» Altri circuiti sequenziali» Cadenza massima clock

Dettagli

SisElnF3 1/10/2003. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi

SisElnF3 1/10/2003. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi Ingegneria dell Informazione Modulo SISTEMI EETTONICI E CICUITI COMBINATOI E SEUENZIAI E3 Circuti sequenziali complessi» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti

Dettagli

Page 1. SisElnF3 1/10/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E

Page 1. SisElnF3 1/10/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E Ingegneria dell Informazione Modulo SISTEMI EETTONICI E CICUITI COMBINATOI E SEUENZIAI E3 Circuti sequenziali complessi» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti

Dettagli

Q1 D. CK Qn CK Q1. E3x - Presentazione della lezione E3

Q1 D. CK Qn CK Q1. E3x - Presentazione della lezione E3 E3x - Presentazione della lezione E3 1/1- Obiettivi» ivisori di frequenza e contatori asincroni» Contatori sincroni» Shift register e convertitori SIPO e PISO» Concetto elementare di macchina a stati finiti

Dettagli

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali

Moduli logici. Interfacciamento di dispositivi logici. Parametri statici e dinamici. Circuiti logici combinatori Circuiti logici sequenziali Moduli logici Moduli logici Interfacciamento di dispositivi logici Parametri statici e dinamici Circuiti logici combinatori Circuiti logici sequenziali Esempi e misure su circuiti digitali Esempi ed esercizi

Dettagli

SisElnF3 1/7/2003. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi

SisElnF3 1/7/2003. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi Ingegneria dell Informazione Modulo SISTEMI EETTONICI E CICUITI COMBINATOI E SEUENZIAI E3 Circuti sequenziali complessi» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti

Dettagli

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. Il campionamento con un fronte. Comportamento

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. Il campionamento con un fronte. Comportamento Capitolo 7 Reti sicroe 7 Elaborazioe sicroa 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Elaborazioe sicroa Struttura igresso i(t) uscita u(t) = F(i(t),s(t)) 2 Rete logica combiatoria ideale z z 2 z m Esigeze

Dettagli

SisElnF3 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi

SisElnF3 17/12/2002. E CIRCUITI COMBINATORI E SEQUENZIALI E3 Circuti sequenziali complessi Ingegneria dell Informazione Modulo SISTEMI EETTRONICI E CIRCUITI COMBINATORI E SEUENZIAI E3 Circuti sequenziali complessi» Porte logiche combinatorie elementari» Modello interruttore-resistenza» Circuiti

Dettagli

5 ELEMENTI DI MEMORIA

5 ELEMENTI DI MEMORIA 5.1 5 ELEMENTI DI MEMORIA 5.1 Fuzioi sequeziali Cosideriamo il circuito di fig. 5.1.1. Figura 5.1.1 Costruiamoe la tavola della verità, tabella 5.1.1, el modo usuale usato per le fuzioi combiatorie. Tabella

Dettagli

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento Capitolo 7 Reti sicroe 7 Retroazioi co flipflop 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Retroazioi co flipflop Comportameto Il modello della rete sicroa istati di sicroismo e itervalli elemetari di

Dettagli

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. 7.1 Elaborazione sincrona Analisi e Sintesi 7.3 Registri e Contatori

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. 7.1 Elaborazione sincrona Analisi e Sintesi 7.3 Registri e Contatori Capitolo 7 Reti sicroe 7 Elaborazioe sicroa 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Elaborazioe sicroa Struttura igresso i(t) uscita u(t) = F(i(t),s(t)) 2 Rete logica combiatoria ideale z z 2 z m Esigeze

Dettagli

Domande di Reti Logiche compito del 17/02/2016

Domande di Reti Logiche compito del 17/02/2016 Barrare ua sola risposta per ogi domada Il puteggio fiale è -1 (. di risposte errate +. domade lasciate i biaco) Usare lo spazio biaco sul retro del foglio per apputi, se serve Per far sì che u Latch SR

Dettagli

Prova parziale 30 aprile 2018 Possibili soluzioni

Prova parziale 30 aprile 2018 Possibili soluzioni Prova parziale 30 aprile 2018 Possibili soluzioi Primo compito Rappresetare il umero -5 el sistema di rappresetazioe i eccesso a 16 su 5 bit. +5 i otazioe posizioale: 00101-5 i complemeto a due: 11011-5

Dettagli

Elettronica Sistemi Digitali 09. Flip-Flop

Elettronica Sistemi Digitali 09. Flip-Flop Elettronica Sistemi igitali 09. Flip-Flop Roberto Roncella Flip-flop e loro applicazioni Reti sequenziali elementari (6) L'elemento bistabile Latch o flip-flop trasparenti Temporizzazione dei flip-flop

Dettagli

Laboratorio II, modulo Elettronica digitale (cfr.

Laboratorio II, modulo Elettronica digitale (cfr. Laboratorio II, modulo 2 26-27 Elettroica digitale (cfr. http://physics.ucsd.edu/~tmurphy/phys2/phys2.html) DC () Dal puto di vista fuzioale gli DC soo dei classificatori: L itervallo di variabilità del

Dettagli

Flip-flop e loro applicazioni

Flip-flop e loro applicazioni Flip-flop e loro applicazioni Reti sequenziali elementari (6) L'elemento bistabile Latch o flip-flop trasparenti Temporizzazione dei flip-flop trasparenti Architettura master-slave Flip-flop non trasparenti

Dettagli

Esercizi su Reti Sincrone e Asincrone. Reti Logiche L-A A.A /11/03 1

Esercizi su Reti Sincrone e Asincrone. Reti Logiche L-A A.A /11/03 1 A.A. 22-23 Reti Logiche L-A Esercizi su Reti Sicroe e Asicroe 6//3 Esercizio Eseguire l aalisi della rete sequeziale asicroa idicata i figura. 6//3 2 a) Idividuare le espressioi di stato e di uscita. Y

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequeziali sicroe ESERCIZIO N. 1 MISURATORE D P R APPARATO Il sistema sicroo riportato i figura ha lo scopo di misurare il ritardo co cui u APPARATO rispode agli stimoli e

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori iversità degli Studi dell Isubria Dipartimeto di Scieze Teoriche e pplicate rchitettura degli elaboratori Registri e Marco Tarii Dipartimeto di Scieze Teoriche e pplicate marco.tarii@uisubria.it Register

Dettagli

Circuiti Sequenziali

Circuiti Sequenziali Circuiti Sequenziali 1 Ingresso Circuito combinatorio Uscita Memoria L uscita al tempo t di un circuito sequenziale dipende dagli ingressi al tempo (t) e dall uscita al tempo (t- t ) Circuiti sequenziali

Dettagli

ESERCITAZIONI PRATICHE LABORATORIO 111

ESERCITAZIONI PRATICHE LABORATORIO 111 ESERCITZIONI PRTICHE LORTORIO 111 MODULO ELETTRONIC DIGITLE SCLE DI INTEGRZIONE I CIRCUITI INTEGRTI Tutte le fuzioi logiche, soo dispoibili i commercio sotto forma di circuiti itegrati. U circuito itegrato

Dettagli

S R Qn+1 Commento 0 0 Qn Conserva lo stato Memorizza Memorizza 1 1 1? Indeterminato. Tabella 1

S R Qn+1 Commento 0 0 Qn Conserva lo stato Memorizza Memorizza 1 1 1? Indeterminato. Tabella 1 Dai circuiti combiatori alle reti sequeziali: i FLIP FLOP Nei circuiti combiatori le uscite dipedoo, i u determiato istate, uicamete dai valori assuti dagli igressi ello stesso istate, ed ioltre il ripetersi

Dettagli

Il Livello Logico-Digitale

Il Livello Logico-Digitale ibreria di blocchi sequeziali l ivello ogico-digitale locchi fuzioali sequeziali Tipici pricipali compoeti sequeziali di libreria: Registro parallelo Registro a scorrimeto aco di registri Memoria Oguo

Dettagli

Circuiti integrati. Il Livello Logico-Digitale. Usi caratteristici. Famiglie di circuiti integrati. Blocchi funzionali combinatori

Circuiti integrati. Il Livello Logico-Digitale. Usi caratteristici. Famiglie di circuiti integrati. Blocchi funzionali combinatori Circuiti itegrati Il Livello Logico-Digitale locchi fuzioali combiatori circuito itegrato è ua piastria di silicio (o chip), quadrata o rettagolare, sulla cui superficie vegoo realizzati e collegati trasistor

Dettagli

Sistemi e Tecnologie della Comunicazione

Sistemi e Tecnologie della Comunicazione Sistemi e ecologie della Comuicazioe Lezioe 4: strato fisico: caratterizzazioe del segale i frequeza Lo strato fisico Le pricipali fuzioi dello strato fisico soo defiizioe delle iterfacce meccaiche (specifiche

Dettagli

Università degli Studi di Cassino

Università degli Studi di Cassino di assino orso di alcolatori Elettronici I Elementi di memoria e registri Anno Accademico 27/28 Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario utilizzare

Dettagli

I circuiti sequenziali

I circuiti sequenziali Elementi di logica digitale I circuiti sequenziali I circuiti combinatori non hanno memoria. Gli output dipendono unicamente dagli input. ono necessari circuiti con memoria, che si comportano in modo diverso

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori Marco Tarii - iversità dell'isubria.. 2017/18 iversità degli Studi dell Isubria Dipartimeto di Scieze Teoriche e pplicate rchitettura degli elaboratori Register File Marco Tarii Dipartimeto di Scieze Teoriche

Dettagli

LSS Reti Logiche: circuiti sequenziali

LSS Reti Logiche: circuiti sequenziali LSS 2016-17 Reti Logiche: circuiti sequenziali Piero Vicini A.A. 2017-2018 Circuiti combinatori vs sequenziali L output di un circuito combinatorio e solo funzione del valore combinatorio degli ingressi

Dettagli

4: Strato fisico: i segnali nel tempo e nella frequenza

4: Strato fisico: i segnali nel tempo e nella frequenza 1 1 4: Strato fisico: i segali el tempo e ella frequeza Lo strato fisico Le pricipali fuzioi dello strato fisico soo defiizioe delle iterfacce meccaiche (specifiche dei coettori) tra il mezzo trasmissivo

Dettagli

Circuiti combinatori notevoli

Circuiti combinatori notevoli Corso di Calcolatori Elettroici I A.A. 22-23 Circuiti combiatori otevoli ig. Alessadro Cilardo Accademia Aeroautica di Pozzuoli Corso Pegaso V GAr Elettroici Sommario codificatori/decodificatori/trascodificatori

Dettagli

Sistemi e Tecnologie della Comunicazione

Sistemi e Tecnologie della Comunicazione Sistemi e ecologie della Comuicazioe Lezioe 4: strato fisico: caratterizzazioe del segale i frequeza Lo strato fisico Le pricipali fuzioi dello strato fisico soo defiizioe delle iterfacce meccaiche (specifiche

Dettagli

Capitolo 7: Reti sincrone

Capitolo 7: Reti sincrone Cap. 7 Reti sicroe 7. Elaborazioe sicroa 7. Elaborazioe sicroa Esigeze e vicoli Il modello della rete sicroa prevede la retroazioe delle variabili di stato attraverso u compoete che itroduce u ritardo.

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettroici Lezioe 14 16/01/2014 Rassega esercizi reti sequeziali Emiliao Casalicchio emiliao.casalicchio@uiroma2.it Argometi della lezioe Esempi di esercizi automi Le soluzioi verrao presetata

Dettagli

13/10/16. Codice 1: Italiana 00. Macchina 00 Razzo 01 Aereo 10

13/10/16. Codice 1: Italiana 00. Macchina 00 Razzo 01 Aereo 10 Rappresetazioe dell'iformazioe I calcolatori elettroici soo macchie i grado di elaborare iformazioi trasformadole i altre iformazioi. Nel modo dell'iformatica, itediamo i modo più restrittivo per iformazioe

Dettagli

1. Saper conteggiare il numero totale di scelte in uno schema ad albero. 2. Saper risolvere problemi con permutazioni, disposizioni e combinazioni

1. Saper conteggiare il numero totale di scelte in uno schema ad albero. 2. Saper risolvere problemi con permutazioni, disposizioni e combinazioni Settimo modulo: Probabilità e statistica Obiettivi. Saper coteggiare il umero totale di scelte i uo schema ad albero 2. Saper risolvere problemi co permutazioi, disposizioi e combiazioi 3. Saper calcolare

Dettagli

Sperimentazioni di Fisica I mod. A Lezione 2

Sperimentazioni di Fisica I mod. A Lezione 2 La Rappresetazioe dei Numeri Sperimetazioi di Fisica I mod. A Lezioe 2 Alberto Garfagii Marco Mazzocco Cizia Sada Dipartimeto di Fisica e Astroomia G. Galilei, Uiversità degli Studi di Padova Lezioe II:

Dettagli

Sommario. Metodologie di progetto. Introduzione. Modello del Sistema. Diagramma a Blocchi. Progetto

Sommario. Metodologie di progetto. Introduzione. Modello del Sistema. Diagramma a Blocchi. Progetto Sommario Metodologie di progetto Massimo Violate troduzioe Progetto a Livello Porte Logiche Progetto a Livello Registri Progetto a Livello Sistema. troduzioe U sistema è ua collezioe di oggetti, compoeti,

Dettagli

Unità Didattica N 33 L algebra dei vettori

Unità Didattica N 33 L algebra dei vettori Uità Didattica N 33 Uità Didattica N 33 0) La ozioe di vettore 02) Immagie geometrica di u vettore umerico 03) Somma algebrica di vettori 04) Prodotto di u umero reale per u vettore 05) Prodotto scalare

Dettagli

Probabilità e Statistica Esercitazioni. a.a. 2006/2007

Probabilità e Statistica Esercitazioni. a.a. 2006/2007 Probabilità e Statistica Esercitazioi a.a. 2006/2007 C.d.L.: Igegeria per l Ambiete ed il Territorio, Igegeria Civile, Igegeria Gestioale, Igegeria dell Iformazioe C.d.L.S.: Igegeria Civile Estrazioi-II

Dettagli

Sintesi e analisi di schemi con contatori

Sintesi e analisi di schemi con contatori Sitesi e aalisi di schemi co cotatori La memorizzazioe dello stato itero di ua qualsiasi rete sequeziale sicroa può essere affidata ad u cotatore: basta ifatti scegliere uo co comado di LO, fissare il

Dettagli

SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA )

SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA ) SISTEMI ELETTRONICI (Ing Telecomunicazioni, AA 2005-06) Lezione A0: Introduzione Organizzazione del modulo, obiettivi, materiale didattico, Scomposizione di un sistema complesso in moduli funzionali, Diversi

Dettagli

Livello logico digitale

Livello logico digitale Livello logico digitale circuiti combinatori di base e circuiti sequenziali Half Adder - Semisommatore Ingresso 2 bit, uscita 2 bit A+ B= ------ C S C=AB S=AB + AB=A B A B In Out HA A B C S S HA A C S

Dettagli

Descrizione VHDL di componenti sequenziali

Descrizione VHDL di componenti sequenziali Descrizioe VHDL di compoeti seueziali 14 giugo 2003 1 Registri I registri soo ua famiglia di compoeti utilizzati per la memorizzazioe. Il loro fuzioameto dipede uidi, oltre che dai segali di igresso, ache

Dettagli

Elettronica I Funzionamento del transistore MOS

Elettronica I Funzionamento del transistore MOS Elettroica I Fuzioameto del trasistore MOS Valetio Liberali Dipartimeto di Tecologie dell Iformazioe Uiversità di Milao, 26013 Crema e-mail: liberali@dti.uimi.it http://www.dti.uimi.it/ liberali Elettroica

Dettagli

Sistemi e Tecnologie della Comunicazione

Sistemi e Tecnologie della Comunicazione Sistemi e ecologie della Comuicazioe Lezioe 4: strato fisico: caratterizzazioe del segale i frequeza Lo strato fisico Le pricipali fuzioi dello strato fisico soo defiizioe delle iterfacce meccaiche (specifiche

Dettagli

Elettronica Funzionamento del transistore MOS

Elettronica Funzionamento del transistore MOS Elettroica Fuzioameto del trasistore MOS Valetio Liberali Dipartimeto di Fisica Uiversità degli Studi di Milao valetio.liberali@uimi.it Elettroica Fuzioameto del trasistore MOS 13 maggio 2015 Valetio Liberali

Dettagli

Stima di somme: esercizio

Stima di somme: esercizio Stima di somme: esercizio Valutare l'ordie di gradezza della somma k l (1 + 3 k ) Quado x

Dettagli

Entropia ed informazione

Entropia ed informazione Etropia ed iformazioe Primi elemeti sulla teoria della misura dell iformazioe Per trasmettere l iformazioe è ecessaria ua rete di comuicazioe, che, secodo l approccio teorico di Claude E. Shao e Warre

Dettagli

CONVERTITORI DAC e ADC

CONVERTITORI DAC e ADC CONVERTITORI DAC e ADC ESERCITAZIONE IN LABORATO Geeralità.... Il covertitore D/A (DAC).... Pricipio della coversioe... Parametri caratteristici....3 Tipi di covertitori D/A (DAC)...3 Covertitori a resisteze

Dettagli

Calcolatori Elettronici Presentazione del corso

Calcolatori Elettronici Presentazione del corso Ao Accademico 2018/2019 Calcolatori Elettroici Presetazioe del corso Prof. Riccardo Torloe Uiversita di Roma Tre Calcolatori Elettroici (6 CFU) Docete Prof. Riccardo Torloe Email: torloe@dia.uiroma3.it

Dettagli

Corso di Informatica

Corso di Informatica Corso di Iformatica Codifica dell Iformazioe Sistemi Numerici Per rappresetare ua certo quatità di oggetti è ecessaria ua covezioe o sistema umerico che faccia corrispodere ad ua sequeza di ua o più cifre,

Dettagli

3. Calcolo letterale

3. Calcolo letterale Parte Prima. Algera 1) Moomi Espressioe algerica letterale 42 Isieme di umeri relativi, talui rappresetati da lettere, legati fra loro da segi di operazioi. Moomio Espressioe algerica che o cotiee le operazioi

Dettagli

Page 1. SisElnF1 12/21/01 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F.

Page 1. SisElnF1 12/21/01 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni F. Ingegneria dell Informazione Modulo SISTEMI ELETTONII F E SEQUENZILI F1 rcuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» rcuiti sequenziali base» Flip-Flop, egistri,

Dettagli

PSPICE Circuiti sequenziali principali

PSPICE Circuiti sequenziali principali PSPICE Circuiti sequenziali principali Davide Piccolo Riccardo de Asmundis Elaboratori 1 Circuiti Sequenziali Tutti i circuiti visti fino ad ora erano circuiti combinatori, ossia circuiti in cui lo stato

Dettagli

SisElnE2bis 1/10/2003. E - LUCIDI COMPLEMENTARI SEDE DI IVREA - AA E2 - Elementi di memoria e Flip-Flop

SisElnE2bis 1/10/2003. E - LUCIDI COMPLEMENTARI SEDE DI IVREA - AA E2 - Elementi di memoria e Flip-Flop iselne2bis //23 Ingegneria dell Informazione Modulo ITEMI EETTONICI E - UCIDI COMPEMENTAI EDE DI IVEA - AA 22-3 E2 - Elementi di memoria e Flip-Flop - Flip-Flop base - Esempi di flip-flop e registri -

Dettagli

Page 1. SisElnE2bis 1/7/ DDC/MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E

Page 1. SisElnE2bis 1/7/ DDC/MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E iselne2bis /7/24 Ingegneria dell Informazione Modulo ITEMI EETTONICI E - UCIDI COMPEMENTAI EDE DI IVEA - AA 22-3 E2 - Elementi di memoria e Flip-Flop - Flip-Flop base - Esempi di flip-flop e registri -

Dettagli

Le successioni: intro

Le successioni: intro Le successioi: itro Si cosideri la seguete sequeza di umeri:,,, 3, 5, 8, 3,, 34, 55, 89, 44, 33, detti di Fiboacci. Essa rappreseta il umero di coppie di coigli preseti ei primi mesi i u allevameto! Si

Dettagli

Circuiti sequenziali. Gli elementi di memoria: i bistabili I registri. Circuiti sequenziali e bistabili. Bistabili: : classificazione

Circuiti sequenziali. Gli elementi di memoria: i bistabili I registri. Circuiti sequenziali e bistabili. Bistabili: : classificazione ircuiti sequenziali Gli elementi di memoria: i bistabili I registri Nei circuiti sequenziali il valore delle uscite in un determinato istante dipende sia dal valore degli ingressi in quello stesso istante

Dettagli

Progetto di un Circuito JTAG per un ASIC di Imaging Biomedico

Progetto di un Circuito JTAG per un ASIC di Imaging Biomedico Uiversità degli Studi Roma Tre Facoltà di Igegeria Corso di Laurea i Igegeria Elettroica Tesi di Laurea Progetto di u Circuito JTAG per u ASIC di Imagig Biomedico Tesi svolta i collaborazioe co I.N.F.N.

Dettagli

Circuiti a tempo discreto Raffaele Parisi

Circuiti a tempo discreto Raffaele Parisi Uiversità di Roma La Sapieza Laurea specialistica i Igegeria Elettroica Circuiti a tempo discreto Raffaele Parisi : Esempi di Sequeze e di Circuiti TD Sequeze otevoli, periodicità delle sequeze, esempi

Dettagli

ELEMENTI DI STATISTICA. Giancarlo Zancanella 2015

ELEMENTI DI STATISTICA. Giancarlo Zancanella 2015 ELEMENTI DI STATISTICA Giacarlo Zacaella 2015 2 Itroduzioe I termii statistici soo molto utilizzati el liguaggio correte 3 Cos è la STATISTICA STATISTICA = scieza che studia i feomei collettivi o di massa

Dettagli

Circuiti sequenziali

Circuiti sequenziali Circuiti sequenziali - I circuiti sequenziali sono caratterizzati dal fatto che, in un dato istante tn+1 le uscite dipendono dai livelli logici di ingresso nell'istante tn+1 ma anche dagli stati assunti

Dettagli

Segnalate imprecisioni o chiedete chiarimenti a:

Segnalate imprecisioni o chiedete chiarimenti a: Dimesioameto di circuiti FC-MO Esercitazioe di Circuiti Elettroici Digitali LA Dimesioameto di circuiti FCMO Esercizio 1 i assuma la caacità di igresso dell ivertitore C 100fF: 1) i realizzio le reti PU

Dettagli

Lezione 3: Segnali periodici

Lezione 3: Segnali periodici eoria dei segali Segali a poteza media fiita e coversioe A/D Lezioe 3: Aalisi i frequeza Esempio di calcolo 005 Politecico di orio eoria dei segali aalisi i frequeza Poteza media Sia dato u segale (t)

Dettagli

Page 1. SisElnF1 1/7/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E.

Page 1. SisElnF1 1/7/2003 MZ 1 SISTEMI ELETTRONICI. Ingegneria dell Informazione. Modulo. Obiettivi del gruppo di lezioni E. Ingegneria dell Informazione Modulo SISTEMI ELETTONII E E SEQUENZILI E1 rcuiti combinatori» Porte logiche combinatorie elementari» Modello interruttore-resistenza» rcuiti sequenziali base» Flip-Flop, egistri,

Dettagli

Introduzione. Gli elementi di memoria: i bistabili I registri. Bistabili: classificazione

Introduzione. Gli elementi di memoria: i bistabili I registri. Bistabili: classificazione Introduzione Gli elementi di memoria: i bistabili I registri Introduzione Bistabili Asincroni Bistabili incroni: Latch e versione del 21/11/02 Nei circuiti sequenziali il valore delle uscite in un determinato

Dettagli

Corso di Teoria dei Circuiti 1 - II modulo

Corso di Teoria dei Circuiti 1 - II modulo Uiversità di Roma La Sapieza - Sede di Latia - Laurea i Igegeria dell Iformazioe Corso di Teoria dei Circuiti 1 - II modulo Docete: Fabio Massimo Frattale Mascioli : Esempi di Sequeze e di Circuiti TD

Dettagli

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali

Elettronica dei Sistemi Digitali Registri di memoria CMOS e reti sequenziali Elettronica dei Sistemi igitali Registri di memoria CMOS e reti sequenziali Valentino Liberali ipartimento di Tecnologie dell Informazione Università di Milano, 263 Crema e-mail: liberali@dti.unimi.it

Dettagli

Fonti e strumenti statistici per la comunicazione

Fonti e strumenti statistici per la comunicazione Foti e strumeti statistici per la comuicazioe Prof.ssa Isabella Migo A.A. 018-019 Idici Medi Esercizio:calcolo media soluzioe Numeri addetti xi i xi * i 10 18 180 1 15 180 14 5 350 16 10 160 18 9 5 0 18

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Reti con reazione e memoria Le funzioni logiche e le relative reti di implementazione visto fino ad ora sono note come reti combinatorie Le reti combinatorie non

Dettagli

Appunti complementari per il Corso di Statistica

Appunti complementari per il Corso di Statistica Apputi complemetari per il Corso di Statistica Corsi di Laurea i Igegeria Edile e Tessile Ilia Negri 24 settembre 2002 1 Schemi di campioameto Co il termie campioameto si itede l operazioe di estrazioe

Dettagli

Matematica III. 1 Richiami di teoria

Matematica III. 1 Richiami di teoria appresetazioe dei umeri reali el calcolatore La rappresetazioe avviee el formato matissa espoete: pn q dove: - p matissa - N base di umerazioe - q espoete La rappresetazioe si dice ormalizzata quado N

Dettagli

Radicali. Esistenza delle radici n-esime: Se n è pari: ogni numero reale non negativo (cioè positivo o nullo) ha esattamente una radice n-esima in R.

Radicali. Esistenza delle radici n-esime: Se n è pari: ogni numero reale non negativo (cioè positivo o nullo) ha esattamente una radice n-esima in R. Radicali Radici quadrate Si dice radice quadrata di u umero reale a, e si idica co a, il umero reale positivo o ullo (se esiste) che, elevato al quadrato, dà come risultato a. Esisteza delle radici quadrate:

Dettagli

Circuiti sequenziali. Circuiti sequenziali e applicazioni

Circuiti sequenziali. Circuiti sequenziali e applicazioni Circuiti sequenziali Circuiti sequenziali e applicazioni Circuiti sequenziali Prima di poter parlare delle memorie è utile dare un accenno ai circuiti sequenziali. Per circuiti sequenziali intendiamo tutti

Dettagli

SISTEMI ELETTRONICI. SisElnE2 20/03/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni E. Modulo

SISTEMI ELETTRONICI. SisElnE2 20/03/ DDC/MZ 1. Ingegneria dell Informazione. Obiettivi del gruppo di lezioni E. Modulo iselne2 2/3/27 Ingegneria dell Informazione Obiettivi del gruppo di lezioni E Modulo ITEMI EETTONICI E - CICUITI OGICI E2 - Elementi di memoria e Flip-Flop - Flip-Flop base - Esempi di flip-flop e registri

Dettagli

Calcolo Numerico A.A Esercitazione n

Calcolo Numerico A.A Esercitazione n Calcolo Numerico A.A. 22-23 Esercitazioe. 4 26-3-23 Fuzioi di iput\output Per visualizzare strighe sullo schermo disp('striga di caratteri') Esempio: >> disp('oggi e'' ua bella giorata') Per itrodurre

Dettagli

Digital Signal Processors

Digital Signal Processors Digital Sigal Processors Elettroica dei Sistemi Digitali L-A Uiversità di Bologa, Cesea Stefao Vitali Fabio Campi Aldo Romai A.a. 004-005 Fuzioalita Itere Gestioe della memoria Caratteristiche dei

Dettagli

Classificazione dei Segnali

Classificazione dei Segnali Classificazioe dei Segali Segali Determiati: Si dice di u segale del quale coosciamo esattamete l adameto el tempo. Del segale sappiamo tutto. Ad esempio s(t)si(4πt) è u segale determiato u segale biomedico

Dettagli

MATEMATICA. le funzioni goniometriche seno, coseno, tangente e cotangente come funzioni reali di variabile reale;

MATEMATICA. le funzioni goniometriche seno, coseno, tangente e cotangente come funzioni reali di variabile reale; CLASSE III D classico A.S. 2018/2019 Programmi svolti MATEMATICA FUNZIONI REALI DI VARIABILE REALE (prima parte) Il cocetto di fuzioe. Fuzioe reale di variabile reale; grafico di ua fuzioe per puti (i

Dettagli

Prova di Elettronica L 9 dicembre 2008 Compito A

Prova di Elettronica L 9 dicembre 2008 Compito A Prova di Elettroica L 9 dicembre 2008 Compito A 1. Ua cassaforte può essere aperta solo se si hao cotemporaeamete le chiavi di tutte 3 le serrature a, b, c che la chiudoo. L impiegato X possiede le chiavi

Dettagli

» Derivazione della porta-base sequenziale (memoria di 1 bit, FlipFlop SR ) a partire dai blocchi base combinatori

» Derivazione della porta-base sequenziale (memoria di 1 bit, FlipFlop SR ) a partire dai blocchi base combinatori E2x - Presentazione della lezione E2 1/1- Obiettivi» erivazione della porta-base sequenziale (memoria di 1 bit, FlipFlop ) a partire dai blocchi base combinatori» Analisi dei ritardi del FlipFlop e temporizzazione»

Dettagli

Un modello di interazione tra CPU e dispositivi di I/O

Un modello di interazione tra CPU e dispositivi di I/O Idice lezioe: Richiami e otazioi: Abbiamo visto: sistema moolitico (I + E + O) dividiamo I e O da E, e affidiamo loro ua CPU replichiamo gli I e gli O per parallelizzare sigolarmete gli I e O Parallelizzazioe

Dettagli

Università degli Studi di Cassino e del Lazio Meridionale Corso di Calcolatori Elettronici Elementi di memoria e Registri

Università degli Studi di Cassino e del Lazio Meridionale Corso di Calcolatori Elettronici Elementi di memoria e Registri di assino e del Lazio Meridionale orso di alcolatori Elettronici Elementi di memoria e Registri Anno Accademico Francesco Tortorella Elementi di memoria Nella realizzazione di un sistema digitale è necessario

Dettagli

n La parte piu' grande dello schermo e' riservata alla planimetria grafica... n acceso/spento,... n modo di funzionamento,...

n La parte piu' grande dello schermo e' riservata alla planimetria grafica... n acceso/spento,... n modo di funzionamento,... Beveuti alla presetazioe del sistema di supervisioe TG-2000 Mitsubishi Electric. Questo software di supervisioe rappreseta la soluzioe piu' evoluta per la gestioe dei sistemi di climatizzazioe Mitsubishi

Dettagli

Flip flop: tempificazione latch ed edge-triggered

Flip flop: tempificazione latch ed edge-triggered Corso di Calcolatori Elettronici I A.A. 2010-2011 Flip flop: tempificazione latch ed edge-triggered Lezione 23-26 Università degli Studi di Napoli Federico II Facoltà di Ingegneria I flip flop - 1 Generalità

Dettagli

Laboratorio II, modulo Elettronica digitale (cfr.

Laboratorio II, modulo Elettronica digitale (cfr. Laboratorio II, modulo 2 205-206 Elettroica digitale (cfr. http://physics.ucsd.edu/~tmurphy/phys2/phys2.html) DC () Dal puto di vista fuzioale gli DC soo dei classificatori: L itervallo di variabilità

Dettagli

INDAGINE POPOLAZIONE SELEZIONE PROBABILISTICA (CASUALE) CAMPIONE. Importante distinzione:

INDAGINE POPOLAZIONE SELEZIONE PROBABILISTICA (CASUALE) CAMPIONE. Importante distinzione: IDAGIE Completa (cesuaria) Semplice sul piao teorico ma complessa ella pratica Popolazioi o fiite Osservazioe distruttiva Parziale (campioaria) Più complessa sul piao teorico ma spesso di più facile attuazioe

Dettagli

SISTEMA DI COMUNICAZIONE E CHIAMATA FRA CELLE E POSTI DI GUARDIA:

SISTEMA DI COMUNICAZIONE E CHIAMATA FRA CELLE E POSTI DI GUARDIA: SISTEMA DI COMUICAZIOE E CHIAMATA FRA CELLE E POSTI DI GUARDIA: ESEMPIO DI RICHIESTA SI VOGLIOO AVERE UA SERIE DI POSTAZIOI DI CHIAMATA A VIVA VOCE CHE DEVOO POTER CHIAMARE U POSTO OPERATORE, SI VUOLE

Dettagli

Daniela Tondini

Daniela Tondini Daiela Todii dtodii@uite.it Facoltà di Bioscieze e Tecologie agro-alimetari e ambietali e Facoltà di Medicia Veteriaria C.L. i Biotecologie Uiversità degli Studi di Teramo 1 La mediaa o valore mediao M

Dettagli

Teoria della Calcolabilità

Teoria della Calcolabilità Teoria della Calcolabilità Si occupa delle questioi fodametali circa la poteza e le limitazioi dei sistemi di calcolo. L'origie risale alla prima metà del vetesimo secolo, quado i logici matematici iiziaroo

Dettagli

Introduzione all Analisi di Fourier. Prof. Luigi Landini Ing. Nicola Vanello. (presentazione a cura di N. Vanello)

Introduzione all Analisi di Fourier. Prof. Luigi Landini Ing. Nicola Vanello. (presentazione a cura di N. Vanello) Itroduzioe all Aalisi di Prof. Luigi Ladii Ig. Nicola Vaello (presetazioe a cura di N. Vaello) ANALII DI FOURIER egali tempo cotiui: egali periodici egali aperiodici viluppo i serie di Itroduzioe alla

Dettagli

Ingegneria e Tecnologie dei Sistemi di Controllo. Programmazione di sistemi di controllo industriale (PLC) PARTE 1. Sommario. Parte 1.

Ingegneria e Tecnologie dei Sistemi di Controllo. Programmazione di sistemi di controllo industriale (PLC) PARTE 1. Sommario. Parte 1. Igegeria e Tecologie dei Sistemi di Cotrollo Programmazioe di sistemi di cotrollo idustriale (PLC) PARTE Prof. Alberto Toielli, Ig. Adrea Tilli DEIS Alma Mater Studiorum Uiversità di Bologa E-Mail: atoielli,

Dettagli

Calcolatori Elettronici Presentazione del corso

Calcolatori Elettronici Presentazione del corso Ao Accademico 2017/2018 Calcolatori Elettroici Presetazioe del corso Prof. Riccardo Torloe Uiversita di Roma Tre Calcolatori Elettroici (6 CFU) Docete Prof. Riccardo Torloe Email: torloe@dia.uiroma3.it

Dettagli

Programmazione Matematica a Numeri Interi (Integer Programming - IP)

Programmazione Matematica a Numeri Interi (Integer Programming - IP) Programmazioe Matematica a Numeri Iteri (Iteger Programmig - IP) Problema di ottimizzazioe di ua fuzioe obiettivo lieare soggetta al rispetto di u isieme di vicoli lieari i cui tutte o parte delle variabili

Dettagli

Disposizioni semplici

Disposizioni semplici Disposizioi semplici Calcolo combiorio D, K ( ) ( )...( K+ ) co 0< K Di elemeti e K (umero urale) si dicoo disposizioi semplici di elemeti di classe K i raggruppameti otteuti scegliedo K elemeti tra gli

Dettagli