Circuiti sequenziali. Capitolo 5

Dimensione: px
Iniziare la visualizzazioe della pagina:

Download "Circuiti sequenziali. Capitolo 5"

Transcript

1 Circuiti sequeziali Capitolo 5

2 Itroduzioe Nei circuiti combiatori L uscita dipede solo dagli igressi La variabile temporale o appare esplicitamete Nei circuiti sequeziali L uscita dipede dalla storia passata Deve esistere ua memoria della storia passata

3 Flip Flop Circuito bistabile Co gli igressi a soo possibili stati di equilibrio x= e = oppure x= e = L uscita x= e = oppure x= e = o soo stabili U impulso su s (set) poe = e x= U impulso su c (clear) poe = e x= U impulso sia su c che su s NON e previsto porterebbe le uscite x= ed = dalla quale l evoluzioe e icerta S Notare i tempi fisici di commutazioe ed il coseguete limite alla durata dell impulso di commutazioe s c x C x t 7 t t t t t t t figura 5..

4 Flip Flop Set-eset (tipo S) S S (a) (b) figura 5.. S vicolo: S

5 Circuiti sicroizzati I quasi tutti i circuiti sequeziali le commutazioi avvegoo solo i precisi istati di campioameto Preseza di u segale di sicroizzazioe (Clock) La loro realizzazioe risulta semplificata Il loro fuzioameto risulta piu affidabile Ovvero: U circuito sequeziale sicroizzato da u impulso di clock puo cambiare stato solo i corrispodeza a tale impulso e cambiera' stato o piu di ua volta per ciascu impulso di clock. S Esempio: Vicolo : S ed o devoo commutare quado T = t t t + + Clock S T figura 5..3

6 Altri tipi di Flip Flop Flip Flop JK E piu comue del S perche prevede ache la possibilita che etrambi gli igressi siao alti (i tal caso di ha la commutazioe di stato) J T K J K + figura 5..4 K. J.

7 Altri tipi di Flip Flop Flip Flop T (Toggle) Ad ogi impulso di clock cambia di stato o meglio se come di solito possiede due igressi (T e clk) Ad ogi impulso di clock, se T= l uscita commuta di stato.t.t T Puo essere otteuto partedo da u Flip Flop JK co etrambi gli igressi posti i parallelo (igresso T)

8 Altri tipi di Flip Flop Flip Flop D (Dela) Ad ogi impulso di clock l uscita prede il valore presete sull igresso D D Puo essere realizzato a partire da u FF di tipo JK portado sui piedii J e K due segali che siao uo il egato dell altro

9 Paragoe circuiti combiatori e sequeziali Dato u problema, questo solitamete puo spesso essere risolto tato i forma sequeziale che combiatoria Esempi Gioco della tria Cotrollo di parita i ua parola ad N bit Addizioe di umeri a N bit La preseza di ua memoria cosete di usare lo stresso operatore piu volte sequezialmete I liea di pricipio U circuito combiatorio sara piu complesso ma veloce U circuito sequeziale sara meo complesso ma piu leto Esistoo soluzioi itermedie (pipelies) velocita' parallelo seriale costo figura 5.4.

10 Cotatori Usao ua serie di FF per memorizzare ua parola di bits Si possoo memorizzare N differeti parole Ad ogi impulso di clock si passa da ua parola alla seguete Comui soo i cotatori i codice biario Es: cotatore modulo 6 Clock T Y T Y T Y 3 T Y 4 figura 5.5. Nota: si possoo pesare diverse soluzioi. I geere e scosigliabile usare porte logiche sulla liea del clock Clock Y Y Y Y4 figura 5.5.

11 egistri a scorrimeto Soo ua catea di FF ove la parola etra serialmete Utili per la coversioe seriale / parallelo X D T Y D T Y D T Y 3 D T Y 4 Clock figura 5.5.3

12 Modello geerale per circuiti sequeziali x x x ete combiatoria ' z z z m equazioi d uscita r Memoria ' p equazioi di stato o itere figura 5.6. Ua memoria matiee iformazioe dello stato Lo stato e rappresetato dalle r possibili combiazioi delle variabili L uscita dipede dagli igressi e dallo stato Le variabili di eccitazioe soo legate a i base al tipo di memoria ad. esempio potrebbero essere r=p ed t ' t Lo stato futuro dipede dagli igressi e dallo stato attuale

13 Fuzioameto sicroo La memoria sia sicroizzata su u clock Lo stato e gli igressi (della memoria) possoo variare solo i istati equi-itervallati No vi sia piu di ua commutazioe per ogi impulso di clock il clock sia sufficietemete breve da evitare al loop di chiudersi Le uscite e le variabili di eccitazioe soo a livelli Durate il clock le variabili di eccitazioe siao stabili Gli istati di clock siao t (=,,3.) Ad ogi clock + =f( ) (lo stato si modifica) Le variabili di uscita e di eccitazioe si modificao e si stabilizzao prima dell arrivo del uovo clock

14 Fuzioameto sicroo Esempio z ' '. x. x. X ' M ' M z figura 5.7. Noto l igresso e lo stato co le equazioi di cui sopra si trova l uscita e lo stato futuro TABELLA igresso stato a t stato a t + Uscita / / / / / / / / figura 5.7.

15 Flip Flop Master Slave Vicoli ei FF fiora visti: Durata miima dell impulso i igresso Es: se l impulso tora a zero prima di t 3 Distaza tra gli impulsi sufficiete affiche il circuito si stabilizzi (freq. massima di lavoro) I u circuito sicroo Bisoga elimiare la possibilita di avere piu commutazioi all itero dello stesso impulso di clock Si possoo pesare delle soluzioi particolari FF Master-Salve s c x S C x figura 5.. t 7 t t t t t t t

16 Flip Flop Master Slave Esempio X: oda quadra a ½ freq. di clock X d S Z: freq ½ di X Clock Z istate =3 figura 5.8. l abbassameto su d o si propaga (fuori dalla fiestra di clock) Istate =5 Clock metre il clock e attivo X l uscita commuta Z si ripreseta all igresso d si modifica d ulteriore impulso su S l uscita commuta uovamete S figura 5.8. La durata del clock e eccessiva

17 Flip Flop Master Slave S S S z Clock figura uado il clock e alto si pre-carica il primo FF co S e uado il clock e basso questi valori arrivao al secodo FF I FF piu usati soo pero quelli sicroizzati sul frote (salita o discesa) del clok piuttosto che sullo stato Puo pero essere presete il feomeo di commutazioi idesiderate quado ci si trovi el caso di clock disallieati (clock-skew) particolarmete preseti i sistemi ad alta frequeza

18 Fuzioameto Asicroo No vi sia u clock la trasizioe avveiva i particolari istati si impoevao vicoli sulla freq. max di lavoro Le memorie soo i pratica dei ritardi Vicoli: No commuti piu di u igresso per volta (o esiste la simultaeità ) Le commutazioi avvegao solo quado i ritardi soo iattivi

19 Fuzioameto Asicroo Esempio siao iizialmete: x ' ' max, z ' ' ' x. x. x. x. x figura 5.9. ' ' z * * * * TABELLA Igresso stato a t stato a t + Uscita / / / *: stati stabili figura 5.9. /

SisElnE3 20/03/ /03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ.

SisElnE3 20/03/ /03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ. 20/03/ SisElnE DDC/DDM/MZ. Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuiti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza»

Dettagli

SisElnE3 03/03/ /03/ SisElnE DDC/DDM/MZ. 03/03/ SisElnE DDC/DDM/MZ. Bit 3 Bit 2 Bit 1 Bit 0

SisElnE3 03/03/ /03/ SisElnE DDC/DDM/MZ. 03/03/ SisElnE DDC/DDM/MZ. Bit 3 Bit 2 Bit 1 Bit 0 Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuiti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza»

Dettagli

5 ELEMENTI DI MEMORIA

5 ELEMENTI DI MEMORIA 5.1 5 ELEMENTI DI MEMORIA 5.1 Fuzioi sequeziali Cosideriamo il circuito di fig. 5.1.1. Figura 5.1.1 Costruiamoe la tavola della verità, tabella 5.1.1, el modo usuale usato per le fuzioi combiatorie. Tabella

Dettagli

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento

Capitolo 7. Reti sincrone. 7.1 Retroazioni con flip-flop. Comportamento Capitolo 7 Reti sicroe 7 Retroazioi co flipflop 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Retroazioi co flipflop Comportameto Il modello della rete sicroa istati di sicroismo e itervalli elemetari di

Dettagli

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. Il campionamento con un fronte. Comportamento

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. Il campionamento con un fronte. Comportamento Capitolo 7 Reti sicroe 7 Elaborazioe sicroa 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Elaborazioe sicroa Struttura igresso i(t) uscita u(t) = F(i(t),s(t)) 2 Rete logica combiatoria ideale z z 2 z m Esigeze

Dettagli

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. 7.1 Elaborazione sincrona Analisi e Sintesi 7.3 Registri e Contatori

Capitolo 7. Reti sincrone. 7.1 Elaborazione sincrona. Struttura. 7.1 Elaborazione sincrona Analisi e Sintesi 7.3 Registri e Contatori Capitolo 7 Reti sicroe 7 Elaborazioe sicroa 72 Aalisi e Sitesi 73 Registri e Cotatori 7 Elaborazioe sicroa Struttura igresso i(t) uscita u(t) = F(i(t),s(t)) 2 Rete logica combiatoria ideale z z 2 z m Esigeze

Dettagli

Esercizi su Reti Sincrone e Asincrone. Reti Logiche L-A A.A /11/03 1

Esercizi su Reti Sincrone e Asincrone. Reti Logiche L-A A.A /11/03 1 A.A. 22-23 Reti Logiche L-A Esercizi su Reti Sicroe e Asicroe 6//3 Esercizio Eseguire l aalisi della rete sequeziale asicroa idicata i figura. 6//3 2 a) Idividuare le espressioi di stato e di uscita. Y

Dettagli

Reti Logiche T. Esercizi reti sequenziali sincrone

Reti Logiche T. Esercizi reti sequenziali sincrone Reti Logiche T Esercizi reti sequeziali sicroe ESERCIZIO N. 1 MISURATORE D P R APPARATO Il sistema sicroo riportato i figura ha lo scopo di misurare il ritardo co cui u APPARATO rispode agli stimoli e

Dettagli

Domande di Reti Logiche compito del 17/02/2016

Domande di Reti Logiche compito del 17/02/2016 Barrare ua sola risposta per ogi domada Il puteggio fiale è -1 (. di risposte errate +. domade lasciate i biaco) Usare lo spazio biaco sul retro del foglio per apputi, se serve Per far sì che u Latch SR

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori iversità degli Studi dell Isubria Dipartimeto di Scieze Teoriche e pplicate rchitettura degli elaboratori Registri e Marco Tarii Dipartimeto di Scieze Teoriche e pplicate marco.tarii@uisubria.it Register

Dettagli

Prova parziale 30 aprile 2018 Possibili soluzioni

Prova parziale 30 aprile 2018 Possibili soluzioni Prova parziale 30 aprile 2018 Possibili soluzioi Primo compito Rappresetare il umero -5 el sistema di rappresetazioe i eccesso a 16 su 5 bit. +5 i otazioe posizioale: 00101-5 i complemeto a due: 11011-5

Dettagli

Capitolo 7: Reti sincrone

Capitolo 7: Reti sincrone Cap. 7 Reti sicroe 7. Elaborazioe sicroa 7. Elaborazioe sicroa Esigeze e vicoli Il modello della rete sicroa prevede la retroazioe delle variabili di stato attraverso u compoete che itroduce u ritardo.

Dettagli

LSS Reti Logiche: circuiti sequenziali

LSS Reti Logiche: circuiti sequenziali LSS 2016-17 Reti Logiche: circuiti sequenziali Piero Vicini A.A. 2017-2018 Circuiti combinatori vs sequenziali L output di un circuito combinatorio e solo funzione del valore combinatorio degli ingressi

Dettagli

Architettura degli elaboratori

Architettura degli elaboratori Marco Tarii - iversità dell'isubria.. 2017/18 iversità degli Studi dell Isubria Dipartimeto di Scieze Teoriche e pplicate rchitettura degli elaboratori Register File Marco Tarii Dipartimeto di Scieze Teoriche

Dettagli

Circuiti integrati. Il Livello Logico-Digitale. Usi caratteristici. Famiglie di circuiti integrati. Blocchi funzionali combinatori

Circuiti integrati. Il Livello Logico-Digitale. Usi caratteristici. Famiglie di circuiti integrati. Blocchi funzionali combinatori Circuiti itegrati Il Livello Logico-Digitale locchi fuzioali combiatori circuito itegrato è ua piastria di silicio (o chip), quadrata o rettagolare, sulla cui superficie vegoo realizzati e collegati trasistor

Dettagli

S R Qn+1 Commento 0 0 Qn Conserva lo stato Memorizza Memorizza 1 1 1? Indeterminato. Tabella 1

S R Qn+1 Commento 0 0 Qn Conserva lo stato Memorizza Memorizza 1 1 1? Indeterminato. Tabella 1 Dai circuiti combiatori alle reti sequeziali: i FLIP FLOP Nei circuiti combiatori le uscite dipedoo, i u determiato istate, uicamete dai valori assuti dagli igressi ello stesso istate, ed ioltre il ripetersi

Dettagli

Page 1. SisElnF3 01/12/2005 MZ 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni E. Ingegneria dell Informazione. Modulo

Page 1. SisElnF3 01/12/2005 MZ 1 SISTEMI ELETTRONICI. Obiettivi del gruppo di lezioni E. Ingegneria dell Informazione. Modulo Igegeria dell Iformazioe Obiettivi del gruppo di lezioi E Modulo SISTEMI EETTONII E IUITI OMINTOI E SEUENZII E3 ircuti sequeziali complessi» Porte logiche combiatorie elemetari» Modello iterruttore-resisteza»

Dettagli

Corso di Informatica

Corso di Informatica Corso di Iformatica Codifica dell Iformazioe Sistemi Numerici Per rappresetare ua certo quatità di oggetti è ecessaria ua covezioe o sistema umerico che faccia corrispodere ad ua sequeza di ua o più cifre,

Dettagli

Corso di Teoria dei Circuiti 1 - II modulo

Corso di Teoria dei Circuiti 1 - II modulo Uiversità di Roma La Sapieza - Sede di Latia - Laurea i Igegeria dell Iformazioe Corso di Teoria dei Circuiti 1 - II modulo Docete: Fabio Massimo Frattale Mascioli : Esempi di Sequeze e di Circuiti TD

Dettagli

Il Livello Logico-Digitale

Il Livello Logico-Digitale ibreria di blocchi sequeziali l ivello ogico-digitale locchi fuzioali sequeziali Tipici pricipali compoeti sequeziali di libreria: Registro parallelo Registro a scorrimeto aco di registri Memoria Oguo

Dettagli

Elettronica I Funzionamento del transistore MOS

Elettronica I Funzionamento del transistore MOS Elettroica I Fuzioameto del trasistore MOS Valetio Liberali Dipartimeto di Tecologie dell Iformazioe Uiversità di Milao, 26013 Crema e-mail: liberali@dti.uimi.it http://www.dti.uimi.it/ liberali Elettroica

Dettagli

Sintesi e analisi di schemi con contatori

Sintesi e analisi di schemi con contatori Sitesi e aalisi di schemi co cotatori La memorizzazioe dello stato itero di ua qualsiasi rete sequeziale sicroa può essere affidata ad u cotatore: basta ifatti scegliere uo co comado di LO, fissare il

Dettagli

Prima prova intercorso. Lezione 10 Logica Digitale (4) Dove siamo nel corso. Un quadro della situazione

Prima prova intercorso. Lezione 10 Logica Digitale (4) Dove siamo nel corso. Un quadro della situazione Prima prova intercorso Lezione Logica Digitale (4) Vittorio carano Architettura Corso di Laurea in Informatica Università degli tudi di alerno Architettura (2324). Vi.ttorio carano Mercoledì 9 Novembre,

Dettagli

Elettronica Funzionamento del transistore MOS

Elettronica Funzionamento del transistore MOS Elettroica Fuzioameto del trasistore MOS Valetio Liberali Dipartimeto di Fisica Uiversità degli Studi di Milao valetio.liberali@uimi.it Elettroica Fuzioameto del trasistore MOS 13 maggio 2015 Valetio Liberali

Dettagli

Circuiti a tempo discreto Raffaele Parisi

Circuiti a tempo discreto Raffaele Parisi Uiversità di Roma La Sapieza Laurea specialistica i Igegeria Elettroica Circuiti a tempo discreto Raffaele Parisi : Esempi di Sequeze e di Circuiti TD Sequeze otevoli, periodicità delle sequeze, esempi

Dettagli

ESERCITAZIONI PRATICHE LABORATORIO 111

ESERCITAZIONI PRATICHE LABORATORIO 111 ESERCITZIONI PRTICHE LORTORIO 111 MODULO ELETTRONIC DIGITLE SCLE DI INTEGRZIONE I CIRCUITI INTEGRTI Tutte le fuzioi logiche, soo dispoibili i commercio sotto forma di circuiti itegrati. U circuito itegrato

Dettagli

Descrizione VHDL di componenti sequenziali

Descrizione VHDL di componenti sequenziali Descrizioe VHDL di compoeti seueziali 14 giugo 2003 1 Registri I registri soo ua famiglia di compoeti utilizzati per la memorizzazioe. Il loro fuzioameto dipede uidi, oltre che dai segali di igresso, ache

Dettagli

Calcolatori Elettronici Presentazione del corso

Calcolatori Elettronici Presentazione del corso Ao Accademico 2018/2019 Calcolatori Elettroici Presetazioe del corso Prof. Riccardo Torloe Uiversita di Roma Tre Calcolatori Elettroici (6 CFU) Docete Prof. Riccardo Torloe Email: torloe@dia.uiroma3.it

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Calcolatori Elettroici Lezioe 14 16/01/2014 Rassega esercizi reti sequeziali Emiliao Casalicchio emiliao.casalicchio@uiroma2.it Argometi della lezioe Esempi di esercizi automi Le soluzioi verrao presetata

Dettagli

Laboratorio II, modulo Elettronica digitale (cfr.

Laboratorio II, modulo Elettronica digitale (cfr. Laboratorio II, modulo 2 26-27 Elettroica digitale (cfr. http://physics.ucsd.edu/~tmurphy/phys2/phys2.html) DC () Dal puto di vista fuzioale gli DC soo dei classificatori: L itervallo di variabilità del

Dettagli

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND.

AB=AB. Porte logiche elementari. Livello fisico. Universalità delle porte NAND. Elementi di memoria: flip-flop e registri AA= A. Porta NAND. 1 Elementi di memoria: flip-flop e registri Porte logiche elementari CORSO DI CALCOLATORI ELETTRONICI I CdL Ingegneria Biomedica (A-I) DIS - Università degli Studi di Napoli Federico II Livello fisico

Dettagli

Gli Indici di VARIABILITA

Gli Indici di VARIABILITA Elemeti di Statistica descrittiva Gli Idici di VARIABILITA - Campo di variazioe - Scarto dalla media - Variaza - Scarto quadratico medio - Coefficiete di variazioe Idici di Variabilità I valori medi soo

Dettagli

Appunti complementari per il Corso di Statistica

Appunti complementari per il Corso di Statistica Apputi complemetari per il Corso di Statistica Corsi di Laurea i Igegeria Edile e Tessile Ilia Negri 24 settembre 2002 1 Schemi di campioameto Co il termie campioameto si itede l operazioe di estrazioe

Dettagli

Circuiti combinatori notevoli

Circuiti combinatori notevoli Corso di Calcolatori Elettroici I A.A. 22-23 Circuiti combiatori otevoli ig. Alessadro Cilardo Accademia Aeroautica di Pozzuoli Corso Pegaso V GAr Elettroici Sommario codificatori/decodificatori/trascodificatori

Dettagli

Sperimentazioni di Fisica I mod. A Lezione 2

Sperimentazioni di Fisica I mod. A Lezione 2 La Rappresetazioe dei Numeri Sperimetazioi di Fisica I mod. A Lezioe 2 Alberto Garfagii Marco Mazzocco Cizia Sada Dipartimeto di Fisica e Astroomia G. Galilei, Uiversità degli Studi di Padova Lezioe II:

Dettagli

Circuiti sequenziali e latch

Circuiti sequenziali e latch Circuiti sequenziali e latch Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@di.unimi.it Università degli Studi di Milano Riferimento Patterson: sezioni C.7 & C.8. 1/32 Sommario

Dettagli

3. Calcolo letterale

3. Calcolo letterale Parte Prima. Algera 1) Moomi Espressioe algerica letterale 42 Isieme di umeri relativi, talui rappresetati da lettere, legati fra loro da segi di operazioi. Moomio Espressioe algerica che o cotiee le operazioi

Dettagli

Elementi di memoria Ciascuno di questi circuiti è caratterizzato dalle seguenti proprietà:

Elementi di memoria Ciascuno di questi circuiti è caratterizzato dalle seguenti proprietà: I circuiti elettronici capaci di memorizzare un singolo bit sono essenzialmente di due tipi: LATCH FLIP-FLOP. Elementi di memoria Ciascuno di questi circuiti è caratterizzato dalle seguenti proprietà:

Dettagli

Progetto Matematica in Rete - Numeri naturali - I numeri naturali

Progetto Matematica in Rete - Numeri naturali - I numeri naturali I umeri aturali Quali soo i umeri aturali? I umeri aturali soo : 0,1,,3,4,5,6,7,8,9,,11 I umeri aturali hao u ordie cioè dati due umeri aturali distiti a e b si può sempre stabilire qual è il loro ordie

Dettagli

Esercizi Determinare il dominio di de nizione delle seguenti funzioni: a.

Esercizi Determinare il dominio di de nizione delle seguenti funzioni: a. Esercizi -. Determiare il domiio di deizioe delle segueti fuzioi a. () = log jj p (jj ) b. () = µ 5 c. d. e. f. g. h. i. j. () =log jj () = 4p j j! Ã () =arcsi () = log 3 + () =log(jj ) p jj () =log(jcos

Dettagli

Programmazione dinamica vs. divide-et-impera

Programmazione dinamica vs. divide-et-impera Programmazioe diamica vs. divide-et-impera Aalogia Soo etrambi paradigmi di sitesi di algoritmi che risolvoo problemi combiado le soluzioi di sottoproblemi Differeza Secodo divide-et-impera si suddivide

Dettagli

- 9 - Analisi dei risultati

- 9 - Analisi dei risultati Dipartimeto di Elettroica e Iformazioe Politecico di Milao - 9 - Aalisi dei risultati Laboratorio di Reti di Telecomuicazioi Prove idipedeti Ogi simulazioe è ua possibile evoluzioe di u sistema. Siamo

Dettagli

Accenni al calcolo combinatorio

Accenni al calcolo combinatorio Accei al calcolo combiatorio Dario Malchiodi e Aa Maria Zaaboi ottobre 2017 Pricipio fodametale del calcolo combiatorio: se ci soo s 1 modi per operare ua scelta e, per ciascuo di essi, ci soo s 2 modi

Dettagli

Sistemi e Tecnologie della Comunicazione

Sistemi e Tecnologie della Comunicazione Sistemi e ecologie della Comuicazioe Lezioe 4: strato fisico: caratterizzazioe del segale i frequeza Lo strato fisico Le pricipali fuzioi dello strato fisico soo defiizioe delle iterfacce meccaiche (specifiche

Dettagli

STATISTICA A K (63 ore) Marco Riani

STATISTICA A K (63 ore) Marco Riani STATISTICA A K (63 ore Marco Riai mriai@uipr.it http://www.riai.it STIMA PUNTUALE (p. 55 Il parametro è stimato co u uico valore Esempio: stima della share di u programma TV % di spettatori el campioe

Dettagli

CPU (1) (4) (3) (2) dispatcher. Context switch. Concetti fondamentali. Il massimo impiego della CPU è ottenuto con la multiprogrammazione.

CPU (1) (4) (3) (2) dispatcher. Context switch. Concetti fondamentali. Il massimo impiego della CPU è ottenuto con la multiprogrammazione. Cocetti fodametali LABORATORIO DI PROGRAMMAZIONE 2 Corso di laurea i matematica Il massimo impiego della CPU è otteuto co la multiprogrammazioe. di processi e threads Preseza i memoria di umerosi processi

Dettagli

Una funzione delle osservazioni campionarie è una statistica che, nel contesto della stima di un parametro, viene definita stimatore.

Una funzione delle osservazioni campionarie è una statistica che, nel contesto della stima di un parametro, viene definita stimatore. Stimatori e stime Teoria della stima Supporremo che sulla popolazioe sia defiita ua variabile X la cui distribuzioe, seppure icogita, è completamete caratterizzata da u parametro q o da u isieme di parametri

Dettagli

Algebra di Boole Forme normali P ed S

Algebra di Boole Forme normali P ed S Corso di Calcolatori Elettroici I A.A. 00-0 Algebra di Boole Forme ormali P ed S Lezioe 5 Uiversità degli Studi di Napoli Federico II Facoltà di Igegeria Variabili e uzioi booleae Elemeti del sostego dell

Dettagli

CONVERSIONE A/D S/H A-D REG. Fig.98 schema a blocchi della conversione A/D

CONVERSIONE A/D S/H A-D REG. Fig.98 schema a blocchi della conversione A/D CONVERSIONE A/D La coversioe A/D cosiste el ricavare, a partire da ua tesioe di igresso che può assumere u certo valore all itero di ua certa diamica, ua striga di bit che, secodo ua certa codifica, è

Dettagli

Corso Propedeutico di Matematica

Corso Propedeutico di Matematica POLINOMI RICHIAMI DI TEORIA Defiizioe: u poliomio ( o fuzioe poliomiale) ella variabile x di grado a coefficieti reali ha la forma A = a0 + a1x + + a 1 x, dove a 0, a 1,..., a soo umeri reali assegati

Dettagli

I bistabili ed il register file

I bistabili ed il register file I bistabili ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano 1/32 Sommario I problemi dei latch trasparenti sincroni

Dettagli

Calcolo Combinatorio

Calcolo Combinatorio Uiversità degli Studi di Palermo Facoltà di Ecoomia Dip. di Scieze Ecoomiche, Aziedali e Statistiche Apputi del corso di Matematica Geerale Calcolo Combiatorio Ao Accademico 2013/201 V. Lacagia - S. Piraio

Dettagli

Calcolatori Elettronici

Calcolatori Elettronici Esercitazione 2 I Flip Flop 1. ual è la differenza tra un latch asincrono e un Flip Flop? a. Il latch è abilitato da un segnale di clock b. Il latch ha gli ingressi asincroni perché questi ultimi controllano

Dettagli

Consideriamo un insieme di n oggetti di natura qualsiasi. Indicheremo questi oggetti con

Consideriamo un insieme di n oggetti di natura qualsiasi. Indicheremo questi oggetti con Calcolo Combiatorio Adolfo Scimoe pag 1 Calcolo combiatorio Cosideriamo u isieme di oggetti di atura qualsiasi. Idicheremo questi oggetti co a1 a2... a. Co questi oggetti si voglioo formare dei gruppi

Dettagli

Cenni alle reti logiche. Luigi Palopoli

Cenni alle reti logiche. Luigi Palopoli Cenni alle reti logiche Luigi Palopoli Reti con reazione e memoria Le funzioni logiche e le relative reti di implementazione visto fino ad ora sono note come reti combinatorie Le reti combinatorie non

Dettagli

5. INDICI DI DISUGUAGLIANZA

5. INDICI DI DISUGUAGLIANZA UNIVERSITA DEGLI STUDI DI PERUGIA DIPARTIMENTO DI FILOSOFIA SCIENZE SOCIALI UMANE E DELLA FORMAZIONE Corso di Laurea i Scieze per l'ivestigazioe e la Sicurezza. INDICI DI DISUGUAGLIANZA Prof. Maurizio

Dettagli

Il Livello Logico-Digitale. I circuiti sequenziali

Il Livello Logico-Digitale. I circuiti sequenziali Il Livello Logico-Digitale I circuiti sequenziali 22 --25 ommario Circuiti sequenziali e elementi di memoria Bistabile asincrono Temporizzazione e clock Bistabili D e sincroni Flip-flop - 2 - Circuiti

Dettagli

CONVERTITORI DAC e ADC

CONVERTITORI DAC e ADC CONVERTITORI DAC e ADC ESERCITAZIONE IN LABORATO Geeralità.... Il covertitore D/A (DAC).... Pricipio della coversioe... Parametri caratteristici....3 Tipi di covertitori D/A (DAC)...3 Covertitori a resisteze

Dettagli

I circuiti sequenziali

I circuiti sequenziali Elementi di logica digitale I circuiti sequenziali I circuiti combinatori non hanno memoria. Gli output dipendono unicamente dagli input. ono necessari circuiti con memoria, che si comportano in modo diverso

Dettagli

esercizi v5.doc

esercizi v5.doc esercizi-0809-05-v5.doc Esercizio a) Calcolare il diametro massimo di ua rete etheret operate a 0 Mb/s cosiderado esclusivamete il vicolo sul RTT massimo di 46.38 µs. (Si cosideri ua velocità di propagazioe

Dettagli

2 storage mechanisms positive feedback charge-based

2 storage mechanisms positive feedback charge-based Sequential Logic Inputs Current State COMBINATIONAL LOGIC Registers Outputs Next state CLK 2 storage mechanisms positive feedback charge-based Positive Feedback: Bi-Stability V i V o = V i 2 V o2 V o2

Dettagli

13/10/16. Codice 1: Italiana 00. Macchina 00 Razzo 01 Aereo 10

13/10/16. Codice 1: Italiana 00. Macchina 00 Razzo 01 Aereo 10 Rappresetazioe dell'iformazioe I calcolatori elettroici soo macchie i grado di elaborare iformazioi trasformadole i altre iformazioi. Nel modo dell'iformatica, itediamo i modo più restrittivo per iformazioe

Dettagli

Titolo della lezione. Campionamento e Distribuzioni Campionarie

Titolo della lezione. Campionamento e Distribuzioni Campionarie Titolo della lezioe Campioameto e Distribuzioi Campioarie Itroduzioe Itrodurre le idagii campioarie Aalizzare il le teciche di costruzioe dei campioi e di rilevazioe Sviluppare il cocetto di distribuzioe

Dettagli

Circuiti a tempo discreto

Circuiti a tempo discreto Uiversità di Roma La Sapieza Laurea specialistica i Igegeria Elettroica Circuiti a tempo discreto Raffaele Parisi : Esempi di Sequeze e di Circuiti TD Sequeze otevoli, periodicità delle sequeze, esempi

Dettagli

Trasmissione del calore con applicazioni numeriche: informatica applicata

Trasmissione del calore con applicazioni numeriche: informatica applicata Corsi di Laurea i Igegeria Meccaica Trasmissioe del calore co applicazioi umeriche: iformatica applicata a.a. 17/18 Teoria Parte I Prof. Nicola Forgioe Dipartimeto di Igegeria Civile e Idustriale E-mail:

Dettagli

Matematica - Ingegneria Gestionale - Prova scritta del 25 gennaio 2006

Matematica - Ingegneria Gestionale - Prova scritta del 25 gennaio 2006 Matematica - Igegeria Gestioale - Prova scritta del 5 geaio 6. Per ogua delle segueti serie si idichi se la serie coverge assolutamete ( AC ), coverge ma o coverge assolutamete ( C ) oppure o coverge (

Dettagli

Esperimentazioni di Fisica 1. Prova scritta del 1 febbraio 2016 SOLUZIONI

Esperimentazioni di Fisica 1. Prova scritta del 1 febbraio 2016 SOLUZIONI Esperimetazioi di Fisica 1 Prova scritta del 1 febbraio 2016 SOLUZIONI Esp-1 Prova di Esame Primo appello - Page 2 of 7 10/09/2015 1. (12 Puti) Quesito. La variabile casuale cotiua x ha ua distribuzioe

Dettagli

Qual è il numero delle bandiere tricolori a righe verticali che si possono formare con i 7 colori dell iride?

Qual è il numero delle bandiere tricolori a righe verticali che si possono formare con i 7 colori dell iride? Calcolo combiatorio sempi Qual è il umero delle badiere tricolori a righe verticali che si possoo formare co i 7 colori dell iride? Dobbiamo calcolare il umero delle disposizioi semplici di 7 oggetti di

Dettagli

Entropia ed informazione

Entropia ed informazione Etropia ed iformazioe Primi elemeti sulla teoria della misura dell iformazioe Per trasmettere l iformazioe è ecessaria ua rete di comuicazioe, che, secodo l approccio teorico di Claude E. Shao e Warre

Dettagli

Docenti: Dott. Franco Mazzenga, Dott.ssa. Ernestina Cianca a.a

Docenti: Dott. Franco Mazzenga, Dott.ssa. Ernestina Cianca a.a Caale IO: defiizioi Doceti: Dott Fraco azzega, Dottssa Erestia Ciaca aa 00-0 odulo di odulo Tecice di Avazate Iformazioe di Trasmissioe e Codifica aa aa 00-0 007-08 Caale IO: defiizioi t,,( atee i trasmissioe

Dettagli

» Derivazione della porta-base sequenziale (memoria di 1 bit, FlipFlop SR ) a partire dai blocchi base combinatori

» Derivazione della porta-base sequenziale (memoria di 1 bit, FlipFlop SR ) a partire dai blocchi base combinatori E2x - Presentazione della lezione E2 1/1- Obiettivi» erivazione della porta-base sequenziale (memoria di 1 bit, FlipFlop ) a partire dai blocchi base combinatori» Analisi dei ritardi del FlipFlop e temporizzazione»

Dettagli

Rapporti statistici. Un esempio introduttivo. Tipi di rapporti statistici. rapporto di composizione. Esistono numerosi modi per costruire rapporti

Rapporti statistici. Un esempio introduttivo. Tipi di rapporti statistici. rapporto di composizione. Esistono numerosi modi per costruire rapporti Rapporti statistici U esempio itroduttivo rapporti statisticisoo misure statistiche elemetari fializzate al cofroto tra i dati stessi. Si immagii di voler cofrotare l offerta ricettiva di due località

Dettagli

TEST STATISTICI. indica l ipotesi che il parametro della distribuzione di una variabile assume il valore 0

TEST STATISTICI. indica l ipotesi che il parametro della distribuzione di una variabile assume il valore 0 TEST STATISTICI I dati campioari possoo essere utilizzati per verificare se ua certa ipotesi su ua caratteristica della popolazioe può essere riteuta verosimile o meo. Co il termie ipotesi statistica si

Dettagli

Disposizioni semplici

Disposizioni semplici Disposizioi semplici Calcolo combiorio D, K ( ) ( )...( K+ ) co 0< K Di elemeti e K (umero urale) si dicoo disposizioi semplici di elemeti di classe K i raggruppameti otteuti scegliedo K elemeti tra gli

Dettagli

AXO Architettura dei Calcolatori e Sistemi Operativi. reti sequenziali

AXO Architettura dei Calcolatori e Sistemi Operativi. reti sequenziali AXO Architettura dei Calcolatori e Sistemi Operativi reti sequenziali Sommario Circuiti sequenziali e elementi di memoria Bistabile SR asincrono Temporizzazione e clock Bistabili D e SR sincroni Flip-flop

Dettagli

Corso di Calcolatori Elettronici I Elementi di memoria ing. Alessandro Cilardo

Corso di Calcolatori Elettronici I Elementi di memoria ing. Alessandro Cilardo orso di alcolatori Elettronici I Elementi di memoria ing. Alessandro ilardo orso di Laurea in Ingegneria Biomedica Reti logiche con memoria In molte situazioni è necessario progettare reti logiche sequenziali,

Dettagli

Matematica III. 1 Richiami di teoria

Matematica III. 1 Richiami di teoria appresetazioe dei umeri reali el calcolatore La rappresetazioe avviee el formato matissa espoete: pn q dove: - p matissa - N base di umerazioe - q espoete La rappresetazioe si dice ormalizzata quado N

Dettagli

I flip-flop ed il register file. Sommario

I flip-flop ed il register file. Sommario I flip-flop ed il register file Prof. Alberto Borghese ipartimento di Scienze dell Informazione borghese@dsi.unimi.it Università degli Studi di Milano Riferimento sul Patterson: Sezioni C.9 e C.11 1/35

Dettagli

Campionamento casuale da popolazione finita (caso senza reinserimento )

Campionamento casuale da popolazione finita (caso senza reinserimento ) Campioameto casuale da popolazioe fiita (caso seza reiserimeto ) Suppoiamo di avere ua popolazioe di idividui e di estrarre u campioe di uità (co < ) Suppoiamo di studiare il carattere X che assume i valori

Dettagli

2,3, (allineamenti decimali con segno, quindi chiaramente numeri reali); 4 ( = 1,33)

2,3, (allineamenti decimali con segno, quindi chiaramente numeri reali); 4 ( = 1,33) Defiizioe di umero reale come allieameto decimale co sego. Numeri reali positivi. Numeri razioali: defiizioe e proprietà di desità Numeri reali Defiizioe: U umero reale è u allieameto decimale co sego,

Dettagli

Circuiti Sequenziali

Circuiti Sequenziali Circuiti Sequenziali 1 Ingresso Circuito combinatorio Uscita Memoria L uscita al tempo t di un circuito sequenziale dipende dagli ingressi al tempo (t) e dall uscita al tempo (t- t ) Circuiti sequenziali

Dettagli

4: Strato fisico: i segnali nel tempo e nella frequenza

4: Strato fisico: i segnali nel tempo e nella frequenza 1 1 4: Strato fisico: i segali el tempo e ella frequeza Lo strato fisico Le pricipali fuzioi dello strato fisico soo defiizioe delle iterfacce meccaiche (specifiche dei coettori) tra il mezzo trasmissivo

Dettagli

IPSAA U. Patrizi Città di Castello (PG) Classe 5A Tecnico Agrario. Lezione di martedì 10 novembre 2015 (4 e 5 ora) Disciplina: MATEMATICA

IPSAA U. Patrizi Città di Castello (PG) Classe 5A Tecnico Agrario. Lezione di martedì 10 novembre 2015 (4 e 5 ora) Disciplina: MATEMATICA IPSAA U. Patrizi Città di Castello (PG) Classe A Tecico Agrario Lezioe di martedì 0 ovembre 0 (4 e ora) Disciplia: MATEMATICA La derivata della fuzioe composta Fuzioe composta Df(g())f (g())g () Questa

Dettagli

Soluzioni foglio 7. Pietro Mercuri. 30 ottobre 2018

Soluzioni foglio 7. Pietro Mercuri. 30 ottobre 2018 Soluzioi foglio 7 Pietro Mercuri 30 ottobre 08 Esercizio Determiare se i segueti iti di successioi esistoo e, quado esistoo, calcolarli... e + e π + π + 3. 4. e + + 3 log5e + 5 5. 4 + 3 3 + 6. e + e +

Dettagli

STUDIO DEL LANCIO DI 3 DADI

STUDIO DEL LANCIO DI 3 DADI Leoardo Latella STUDIO DEL LANCIO DI 3 DADI Il calcolo delle probabilità studia gli eveti casuali probabili, cioè quegli eveti che possoo o o possoo verificarsi e che dipedoo uicamete dal caso. Tale studio

Dettagli

Circuiti sequenziali. Circuiti sequenziali e applicazioni

Circuiti sequenziali. Circuiti sequenziali e applicazioni Circuiti sequenziali Circuiti sequenziali e applicazioni Circuiti sequenziali Prima di poter parlare delle memorie è utile dare un accenno ai circuiti sequenziali. Per circuiti sequenziali intendiamo tutti

Dettagli

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno

Gli elementi di memoria: i bistabili I registri. Mariagiovanna Sami Corso di reti Logiche 8 Anno Gli elementi di memoria: i bistabili I registri Mariagiovanna Sami Corso di reti Logiche 8 Anno 2007-08 08 Circuiti sequenziali Nei circuiti sequenziali il valore delle uscite in un dato istante dipende

Dettagli

Formulazione di Problemi Decisionali come Problemi di Programmazione Lineare

Formulazione di Problemi Decisionali come Problemi di Programmazione Lineare Formulazioe di Problemi Decisioali come Problemi di Programmazioe Lieare Cosideriamo i segueti problemi decisioali ed esamiiamo come possoo essere formulati come problemi di PL: Il problema del trasporto

Dettagli

Calcolatori Elettronici Presentazione del corso

Calcolatori Elettronici Presentazione del corso Ao Accademico 2017/2018 Calcolatori Elettroici Presetazioe del corso Prof. Riccardo Torloe Uiversita di Roma Tre Calcolatori Elettroici (6 CFU) Docete Prof. Riccardo Torloe Email: torloe@dia.uiroma3.it

Dettagli

Sistemi e Tecnologie della Comunicazione

Sistemi e Tecnologie della Comunicazione Sistemi e ecologie della Comuicazioe Lezioe 4: strato fisico: caratterizzazioe del segale i frequeza Lo strato fisico Le pricipali fuzioi dello strato fisico soo defiizioe delle iterfacce meccaiche (specifiche

Dettagli

L INFORMAZIONE E LE CODIFICHE

L INFORMAZIONE E LE CODIFICHE L INFORMAZIONE E LE CODIFICE UN PO DI STORIA - La Teoria dell iformazioe è ata ella secoda metà del 900, sebbee il termie iformazioe sia atico (dal latio mettere i forma) - I omi più importati soo Nyquist,

Dettagli

SISTEMA DI COMUNICAZIONE E CHIAMATA FRA CELLE E POSTI DI GUARDIA:

SISTEMA DI COMUNICAZIONE E CHIAMATA FRA CELLE E POSTI DI GUARDIA: SISTEMA DI COMUICAZIOE E CHIAMATA FRA CELLE E POSTI DI GUARDIA: ESEMPIO DI RICHIESTA SI VOGLIOO AVERE UA SERIE DI POSTAZIOI DI CHIAMATA A VIVA VOCE CHE DEVOO POTER CHIAMARE U POSTO OPERATORE, SI VUOLE

Dettagli

Corso di Calcolatori Elettronici I Flip-flop

Corso di Calcolatori Elettronici I Flip-flop Corso di Calcolatori Elettronici I Flip-flop Università degli Studi di Napoli Federico II Dipartimento di Ingegneria Elettrica e delle Tecnologie dell Informazione Corso di Laurea in Ingegneria Informatica

Dettagli

Problemi di copertura, impaccamento e partizionamento (Set Covering, Packing and Partitioning Problems)

Problemi di copertura, impaccamento e partizionamento (Set Covering, Packing and Partitioning Problems) Problemi di copertura, impaccameto e partizioameto (Set Coverig, Packig ad Partitioig Problems) Ua defiizioe geerale dei Problemi di Ottimizzazioe Combiatorica: Defiizioe: Soo dati u isieme fiito N={1,,}

Dettagli

Le successioni di Fibonacci traslate

Le successioni di Fibonacci traslate Le successioi di iboacci traslate Di Cristiao Arellii, cristiao.arellii@alice.it U successioe di iboacci è ua successioe uerica descritta dalla forula di ricorreza: 0 0, ; +,,3,4,... ovvero ogi terie è

Dettagli

5. INDICI DI VARIABILITA'

5. INDICI DI VARIABILITA' UNIVERSITA DEGLI STUDI DI PERUGIA DIPARTIMENTO DI FILOSOFIA SCIENZE SOCIALI UMANE E DELLA FORMAZIONE Corso di Laurea i Scieze per l'ivestigazioe e la Sicurezza. INDICI DI VARIABILITA' Prof. Maurizio Pertichetti

Dettagli

q V C dipende solo dalla geometria dei piatti e ci dice quanta carica serve ad un dato condensatore per portarlo ad una DV fissata.

q V C dipende solo dalla geometria dei piatti e ci dice quanta carica serve ad un dato condensatore per portarlo ad una DV fissata. I codesatori codesatore è u dispositivo i grado di immagazziare eergia, sottoforma di eergia poteziale, i u campo elettrico Ogi volta che abbiamo a che fare co due coduttori di forma arbitraria detti piatti

Dettagli

PROPRIETÀ DELLE POTENZE IN BASE 10

PROPRIETÀ DELLE POTENZE IN BASE 10 PROPRIETÀ DELLE POTENZE IN BASE Poteze i base co espoete itero positivo Prediamo u umero qualsiasi che deotiamo co la lettera a e u umero itero positivo che deotiamo co la lettera Per defiizioe (cioè per

Dettagli